|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3865 occurrences of 2046 keywords
|
|
|
Results
Found 8842 publication records. Showing 8790 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
108 | Po-Yung Chang, Eric Hao, Tse-Yu Yeh, Yale N. Patt |
Branch classification: a new mechanism for improving branch predictor performance. |
MICRO |
1994 |
DBLP DOI BibTeX RDF |
branch classification, speculative execution, superscalar, processor performance, branch predictor |
104 | Muawya Al-Otoom, Elliott Forbes, Eric Rotenberg |
EXACT: explicit dynamic-branch prediction with active updates. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
branch prediction, microarchitecture, superscalar processors |
100 | Sreeram Duvvuru, Siamak Arya |
Evaluation of a branch target address cache. |
HICSS (1) |
1995 |
DBLP DOI BibTeX RDF |
branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes |
88 | Soner Önder, Jun Xu, Rajiv Gupta 0001 |
Caching and Predicting Branch Sequences for Improved Fetch Effectiveness. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
branch sequence prediction, sequence table, fetch bandwidth, speculative execution |
87 | Brad Calder, Dirk Grunwald |
Reducing Branch Costs via Branch Alignment. |
ASPLOS |
1994 |
DBLP DOI BibTeX RDF |
branch prediction, branch target buffers, trace scheduling, profile-based optimization |
86 | Resit Sendag, Joshua J. Yi, Peng-fei Chuang |
Branch Misprediction Prediction: Complementary Branch Predictors. |
IEEE Comput. Archit. Lett. |
2007 |
DBLP DOI BibTeX RDF |
|
83 | Renju Thomas, Manoj Franklin |
Using Dataflow Based Contextfor Accurate Branch Prediction. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
Data value based branch prediction, dataflow inherited branch history, speculative execution, dynamic branch prediction |
83 | Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon |
Accuracy Enhancement by Selective Use of Branch History in Embedded Processor. |
International Conference on Computational Science (4) |
2006 |
DBLP DOI BibTeX RDF |
History Length Adjustment, gshare Predictor, Data Dependency, Branch Prediction, Branch History |
83 | Cliff Young, Michael D. Smith 0001 |
Static correlated branch prediction. |
ACM Trans. Program. Lang. Syst. |
1999 |
DBLP DOI BibTeX RDF |
branch correlation, profile-driven optimization, branch prediction, path profiling |
83 | Gary S. Tyson |
The effects of predicated execution on branch prediction. |
MICRO |
1994 |
DBLP DOI BibTeX RDF |
HP-RISC, Pentium, high-performance, ATOM, branch prediction, predication, PowerPC, Alpha |
82 | Michael Haungs, Phil Sallee, Matthew K. Farrens |
Branch Transition Rate: A New Metric for Improved Branch Classification Analysis. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
Branch Classification, Transition Rate, Dual Path, Branch Prediction |
78 | Jong Wook Kwak, Chu Shik Jhon |
Recovery Logics for Speculative Update Global and Local Branch History. |
ISCIS |
2006 |
DBLP DOI BibTeX RDF |
Speculative Update Branch History, Recovery Logic, gshare Predictor, Branch Prediction, Branch History |
78 | Pierre Michaud, André Seznec, Richard Uhlig |
Trading Conflict and Capacity Aliasing in Conditional Branch Predictors. |
ISCA |
1997 |
DBLP DOI BibTeX RDF |
3 C's classification, skewed branch predictor, branch prediction, aliasing |
78 | Brad Calder, Dirk Grunwald, Michael P. Jones, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn |
Evidence-Based Static Branch Prediction Using Machine Learning. |
ACM Trans. Program. Lang. Syst. |
1997 |
DBLP DOI BibTeX RDF |
neural networks, performance evaluation, machine learning, decision trees, branch prediction, program optimization |
73 | Colin Egan, Gordon B. Steven, Won Shim, Lucian N. Vintan |
Applying Caching to Two-Level Adaptive Branch Prediction. |
DSD |
2001 |
DBLP DOI BibTeX RDF |
Two-level Adaptive Branch Predictors, Cached Correlated Branch Predictors, Prediction Cache |
73 | Scott A. Mahlke, Balas K. Natarajan |
Compiler Synthesized Dynamic Branch Prediction. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
profile information, pipelined processor, compiler analysis, dynamic branch prediction, branch instruction |
72 | Daniel A. Jiménez |
Generalizing neural branch prediction. |
ACM Trans. Archit. Code Optim. |
2009 |
DBLP DOI BibTeX RDF |
machine learning, Branch prediction |
72 | Cheol Kim, Sung Chung, Chu Shik Jhon |
A Power-Aware Branch Predictor by Accessing the BTB Selectively. |
J. Comput. Sci. Technol. |
2005 |
DBLP DOI BibTeX RDF |
BTB, PHT, low power design, embedded processor, branch predictor |
72 | Lucian N. Vintan, Marius Sbera, Ioan Z. Mihu, Adrian Florea |
An alternative to branch prediction: pre-computed branches. |
SIGARCH Comput. Archit. News |
2003 |
DBLP DOI BibTeX RDF |
complexity evaluations, multiple instruction issue, performance, pipelining, speculative execution, execution driven simulation, dynamic branch prediction |
72 | Haitham Akkary, Srikanth T. Srinivasan, Konrad Lai |
Recycling waste: exploiting wrong-path execution to improve branch prediction. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
deep pipelines, branch prediction, instruction reuse |
72 | Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras |
Implementing branch-predictor decay using quasi-static memory cells. |
ACM Trans. Archit. Code Optim. |
2004 |
DBLP DOI BibTeX RDF |
Energy aware computing |
71 | Eric Hao, Po-Yung Chang, Yale N. Patt |
The effect of speculatively updating branch history on branch prediction accuracy, revisited. |
MICRO |
1994 |
DBLP DOI BibTeX RDF |
two-level adaptive branch prediction, speculative execution, superscalar processors, out-of-order execution, dynamic branch prediction |
71 | Chengmo Yang, Alex Orailoglu |
Power efficient branch prediction through early identification of branch addresses. |
CASES |
2006 |
DBLP DOI BibTeX RDF |
low-power design, application-specific processors, dynamic branch prediction |
71 | Da-Chih David Tang, Ann Marie Grizzaffi Maynard, Lizy Kurian John |
Contrasting branch characteristics and branch predictor performance of C++ and C programs. |
IPCCC |
1999 |
DBLP DOI BibTeX RDF |
|
68 | Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero |
A Comprehensive Analysis of Indirect Branch Prediction. |
ISHPC |
2002 |
DBLP DOI BibTeX RDF |
indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer |
67 | Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 |
OS-Aware Branch Prediction: Improving Microprocessor Control Flow Prediction for Operating Systems. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
branch prediction, processor architectures, Pipeline processors, performance of systems, hardware/software interfaces, computer system implementation |
67 | Pramod Ramarao, Akhilesh Tyagi |
An Integrated Partitioning and Scheduling Based Branch Decoupling. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
|
67 | Beth Simon, Brad Calder, Jeanne Ferrante |
Incorporating Predicate Information into Branch Predictors. |
HPCA |
2003 |
DBLP DOI BibTeX RDF |
|
65 | Resit Sendag, Joshua J. Yi, Peng-fei Chuang, David J. Lilja |
Low power/area branch prediction using complementary branch predictors. |
IPDPS |
2008 |
DBLP DOI BibTeX RDF |
|
65 | Sung-Hoon Shim, Jong Wook Kwak, Cheol Hong Kim, Sung Tae Jhang, Chu Shik Jhon |
Power-Aware Branch Logic: A Hardware Based Technique for Filtering Access to Branch Logic. |
SAMOS |
2005 |
DBLP DOI BibTeX RDF |
|
62 | Leo Porter 0001, Dean M. Tullsen |
Creating artificial global history to improve branch prediction accuracy. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
branch prediction |
62 | Edward Lee, Craig B. Zilles |
Branch-on-random. |
CGO |
2008 |
DBLP DOI BibTeX RDF |
lfsr, sampling, profiling, instrumentation, branch, pseudo-random |
62 | André Seznec, Stephen Felix, Venkata Krishnan, Yiannakis Sazeides |
Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor. |
ISCA |
2002 |
DBLP DOI BibTeX RDF |
EV8 processor, Branch Prediction |
61 | Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero |
The Effect of Code Reordering on Branch Prediction. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
61 | Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra |
Dynamic Branch Decoupled Architecture. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
dynamic, decoupling, branches |
61 | Jared Stark, Marius Evers, Yale N. Patt |
Variable Length Path Branch Prediction. |
ASPLOS |
1998 |
DBLP DOI BibTeX RDF |
|
61 | Brad Calder, Dirk Grunwald, Donald C. Lindsay, James H. Martin, Michael Mozer, Benjamin G. Zorn |
Corpus-Based Static Branch Prediction. |
PLDI |
1995 |
DBLP DOI BibTeX RDF |
C |
61 | Ching-Long Su, Alvin M. Despain |
Minimizing branch misprediction penalties for superpipelined processors. |
MICRO |
1994 |
DBLP DOI BibTeX RDF |
|
61 | Marius Evers, Po-Yung Chang, Yale N. Patt |
Using Hybrid Branch Predictors to Improve Branch Prediction Accuracy in the Presence of Context Switches. |
ISCA |
1996 |
DBLP DOI BibTeX RDF |
branch prediction, speculative execution, superscalar, context switch |
60 | Amit Gandhi, Haitham Akkary, Srikanth T. Srinivasan |
Reducing Branch Misprediction Penalty via Selective Branch Recovery. |
HPCA |
2004 |
DBLP DOI BibTeX RDF |
|
57 | Brad Calder, Dirk Grunwald |
Next Cache Line and Set Prediction. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
|
57 | Yixin Shi, Gyungho Lee |
Augmenting Branch Predictor to Secure Program Execution. |
DSN |
2007 |
DBLP DOI BibTeX RDF |
Control Flow Validation, Indirect Branch, Bloom Filter, Software Protection, Branch Predictor |
57 | Gisela Klette |
Branch Voxels and Junctions in 3D Skeletons. |
IWCIA |
2006 |
DBLP DOI BibTeX RDF |
3D skeletons, 3D curve analysis, branch nodes, branch index, astrocytes, thinning, medical image analysis |
57 | Takashi Yokota, Kanemitsu Ootsu, Takanobu Baba |
Introducing entropies for representing program behavior and branch predictor performance. |
Experimental Computer Science |
2007 |
DBLP DOI BibTeX RDF |
architecture, information entropy, program behavior, prediction performance, branch predictors |
57 | Amirali Baniasadi, Andreas Moshovos |
SEPAS: a highly accurate energy-efficient branch predictor. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
high-performance processors, power-aware branch prediction, selective predictor access |
57 | Brian L. Deitrich, Ben-Chung Cheng, Wen-mei W. Hwu |
Improving Static Branch Prediction in a Compiler. |
IEEE PACT |
1998 |
DBLP DOI BibTeX RDF |
static branch prediction, profile-based compilation |
56 | François Bodin, Isabelle Puaut |
A WCET-Oriented Static Branch Prediction Scheme for Real Time Systems. |
ECRTS |
2005 |
DBLP DOI BibTeX RDF |
|
56 | Veerle Desmet, Lieven Eeckhout, Koen De Bosschere |
Using Decision Trees to Improve Program-Based and Profile-Based Static Branch Prediction. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
|
56 | Ayose Falcón, Jared Stark, Alex Ramírez, Konrad Lai, Mateo Valero |
Prophet/Critic Hybrid Branch Prediction. |
ISCA |
2004 |
DBLP DOI BibTeX RDF |
|
56 | Lucian N. Vintan, Colin Egan |
Extending Correlation in Branch Prediction Schemes. |
EUROMICRO |
1999 |
DBLP DOI BibTeX RDF |
|
55 | Srilatha Manne, Artur Klauser, Dirk Grunwald |
Branch Prediction Using Selective Branch Inversion. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
|
55 | Cliff Young, Michael D. Smith 0001 |
Improving the Accuracy of Static Branch Prediction Using Branch Correlation. |
ASPLOS |
1994 |
DBLP DOI BibTeX RDF |
|
53 | Arpad Gellert, Adrian Florea, Maria N. Vintan, Colin Egan, Lucian N. Vintan |
Unbiased Branches: An Open Problem. |
Asia-Pacific Computer Systems Architecture Conference |
2007 |
DBLP DOI BibTeX RDF |
unbiased branch, branch difference value prediction, Branch prediction |
53 | Hyesoon Kim, José A. Joao, Onur Mutlu, Chang Joo Lee, Yale N. Patt, Robert Cohn |
VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
devirtualization, indirect branch prediction, virtual functions |
52 | Kevin Casey, M. Anton Ertl, David Gregg |
Optimizing indirect branch prediction accuracy in virtual machine interpreters. |
ACM Trans. Program. Lang. Syst. |
2007 |
DBLP DOI BibTeX RDF |
code replication, superinstruction, Interpreter, branch prediction, branch target buffer |
52 | M. Anton Ertl, David Gregg |
Optimizing indirect branch prediction accuracy in virtual machine interpreters. |
PLDI |
2003 |
DBLP DOI BibTeX RDF |
code replication, superinstruction, interpreter, branch prediction, branch target buffer |
52 | Chih-Chieh Lee, I-Cheng K. Chen, Trevor N. Mudge |
The bi-Mode Branch Predictor. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
two-level branch prediction, Dynamic branch prediction |
52 | Erik Jacobsen, Eric Rotenberg, James E. Smith 0001 |
Assigning Confidence to Conditional Branch Predictions. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
branch correctness, conditional branch predictions, dynamic branches, processor resources, static branches, resource allocation |
51 | Paul Biggar, Nicholas Nash, Kevin Williams 0001, David Gregg |
An experimental study of sorting and branch prediction. |
ACM J. Exp. Algorithmics |
2008 |
DBLP DOI BibTeX RDF |
caching, Sorting, branch prediction, pipeline architectures |
51 | Zhengbing Bian, Qian-Ping Gu |
Computing Branch Decomposition of Large Planar Graphs. |
WEA |
2008 |
DBLP DOI BibTeX RDF |
computational study, Graph algorithms, planar graphs, algorithm engineering, branch-decomposition |
51 | Wei Zhang 0002, Bramha Allu |
Reducing branch predictor leakage energy by exploiting loops. |
ACM Trans. Embed. Comput. Syst. |
2007 |
DBLP DOI BibTeX RDF |
compiler, Branch prediction, leakage energy |
51 | Sung Woo Chung, Kevin Skadron |
Using Branch Prediction Information for Near-Optimal I-Cache Leakage. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
Low Power, Branch Prediction, Leakage, Instruction Cache, Drowsy Cache |
51 | Peng Zhou, Soner Önder, Steve Carr 0001 |
Fast branch misprediction recovery in out-of-order superscalar processors. |
ICS |
2005 |
DBLP DOI BibTeX RDF |
processor state, checkpoint, recovery, branch misprediction |
51 | Wei Zhang 0002, Bramha Allu |
Loop-based leakage control for branch predictors. |
CASES |
2004 |
DBLP DOI BibTeX RDF |
compiler, branch prediction, leakage energy |
51 | Vladimir Uzelac, Aleksandar Milenkovic |
Experiment flows and microbenchmarks for reverse engineering of branch predictor structures. |
ISPASS |
2009 |
DBLP DOI BibTeX RDF |
|
51 | Tao Li 0006, Ravi Bhargava, Lizy Kurian John |
Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
|
51 | Zhigang Hu, Philo Juang, Kevin Skadron, Douglas W. Clark, Margaret Martonosi |
Applying Decay Strategies to Branch Predictors for Leakage Energy Savings. |
ICCD |
2002 |
DBLP DOI BibTeX RDF |
|
51 | Matthias Elf, Carsten Gutwenger, Michael Jünger, Giovanni Rinaldi |
Branch-and-Cut Algorithms for Combinatorial Optimization and Their Implementation in ABACUS. |
Computational Combinatorial Optimization |
2001 |
DBLP DOI BibTeX RDF |
|
51 | Jayanth Gummaraju, Manoj Franklin |
Branch Prediction in Multi-Threaded Processors. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
51 | Jan Hoogerbrugge |
Dynamic Branch Prediction for a VLIW Processor. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
51 | Anshuman S. Nadkarni, Akhilesh Tyagi |
A Trace Based Evaluation of Speculative Branch Decoupling. |
ICCD |
2000 |
DBLP DOI BibTeX RDF |
|
51 | Ryan N. Rakvic, Bryan Black, John Paul Shen |
Completion time multiple branch prediction for enhancing trace cache performance. |
ISCA |
2000 |
DBLP DOI BibTeX RDF |
|
51 | Cliff Young, Nicholas C. Gloy, Michael D. Smith 0001 |
A Comparative Analysis of Schemes for Correlated Branch Prediction. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
|
50 | Simon Kluyskens, Lieven Eeckhout |
Branch Predictor Warmup for Sampled Simulation through Branch History Matching. |
Trans. High Perform. Embed. Archit. Compil. |
2009 |
DBLP DOI BibTeX RDF |
|
50 | Simon Kluyskens, Lieven Eeckhout |
Branch History Matching: Branch Predictor Warmup for Sampled Simulation. |
HiPEAC |
2007 |
DBLP DOI BibTeX RDF |
|
48 | Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon |
History Length Adjustable gshare Predictor for High-Performance Embedded Processor. |
ICCSA (4) |
2006 |
DBLP DOI BibTeX RDF |
History Length Adjustment, gshare Predictor, Branch Prediction, Branch History |
47 | Christian Panis, Ulrich Hirnschrott, Andreas Krall, Gunther Laure, Wolfgang Lazian, Jari Nurmi |
FSEL - Selective Predicated Execution for a Configurable DSP Core. |
ISVLSI |
2004 |
DBLP DOI BibTeX RDF |
|
47 | Harish Patil, Joel S. Emer |
Combining Static and Dynamic Branch Prediction to Reduce Destructive Aliasing. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
Conditional branch prediction, static branch prediction, profile-based optimization |
47 | Eric Sprangle, Robert S. Chappell, Mitch Alsup, Yale N. Patt |
The Agree Predictor: A Mechanism for Reducing Negative Branch History Interference. |
ISCA |
1997 |
DBLP DOI BibTeX RDF |
two-level branch prediction, branch prediction, speculative execution, superscalar |
46 | Onur Aciiçmez, Çetin Kaya Koç, Jean-Pierre Seifert |
Predicting Secret Keys Via Branch Prediction. |
CT-RSA |
2007 |
DBLP DOI BibTeX RDF |
Simultaneous Multi-threading, RSA, Branch Prediction, Side Channel Analysis, Montgomery Multiplication, Modular Exponentiation |
46 | Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan |
Power-Aware Branch Prediction: Characterization and Design. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking |
46 | Matteo Monchiero, Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon |
Power-aware branch prediction techniques: a compiler-hints based approach for VLIW processors. |
ACM Great Lakes Symposium on VLSI |
2004 |
DBLP DOI BibTeX RDF |
low-power design, branch prediction, VLIW processors |
46 | Neelam Gupta, Aditya P. Mathur, Mary Lou Soffa |
Generating Test Data for Branch Coverage. |
ASE |
2000 |
DBLP DOI BibTeX RDF |
iterative relaxation technique, testing tools, Path testing, branch testing |
46 | Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 |
Improving Branch Prediction and Predicated Execution in Out-of-Order Processors. |
HPCA |
2007 |
DBLP DOI BibTeX RDF |
|
46 | Daniel A. Jiménez |
Piecewise Linear Branch Prediction. |
ISCA |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Amirali Baniasadi |
Power-Aware Branch Predictor Update for High-Performance Processors. |
PATMOS |
2003 |
DBLP DOI BibTeX RDF |
|
46 | Daniel A. Jiménez |
Reconsidering Complex Branch Predictors. |
HPCA |
2003 |
DBLP DOI BibTeX RDF |
|
46 | Jakob Engblom |
Analysis of the Execution Time Unpredictability caused by Dynamic Branch Prediction. |
IEEE Real Time Technology and Applications Symposium |
2003 |
DBLP DOI BibTeX RDF |
|
46 | Juan L. Aragón, José González 0002, José M. García 0001, Antonio González 0001 |
Confidence Estimation for Branch Prediction Reversal. |
HiPC |
2001 |
DBLP DOI BibTeX RDF |
|
46 | Timothy H. Heil, Zak Smith, James E. Smith 0001 |
Improving Branch Predictors by Correlating on Data Values. |
MICRO |
1999 |
DBLP DOI BibTeX RDF |
|
46 | Rastislav Bodík, Rajiv Gupta 0001, Mary Lou Soffa |
Interprocedural Conditional Branch Elimination. |
PLDI |
1997 |
DBLP DOI BibTeX RDF |
|
45 | Amirali Baniasadi, Andreas Moshovos |
Branch Predictor Prediction: A Power-Aware Branch Predictor for High-Performance Processors. |
ICCD |
2002 |
DBLP DOI BibTeX RDF |
|
42 | Oliverio J. Santana, Alex Ramírez, Mateo Valero |
Enlarging Instruction Streams. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
Superscalar processor design, branch prediction, code optimization, instruction fetch, access latency |
42 | Koetsu Yamazaki, Xiaohong Ding |
Optimum Design of Cooling Pipe Systems by Branching Tree Model in Nature. |
System Modelling and Optimization |
2005 |
DBLP DOI BibTeX RDF |
Cooling Channel, Branch System, Bionic Design, Layout Optimization |
42 | Kenneth A. Ross |
Selection conditions in main memory. |
ACM Trans. Database Syst. |
2004 |
DBLP DOI BibTeX RDF |
Branch misprediction |
42 | Glenn Reinman, Brad Calder, Todd M. Austin |
Optimizations Enabled by a Decoupled Front-End Architecture. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
fetch architectures, branch prediction, Decoupled architectures, instruction prefetching |
42 | André Seznec |
Don't Use the Page Number, But a Pointer To It. |
ISCA |
1996 |
DBLP DOI BibTeX RDF |
address width, indirect-tagged caches, reduced branch target buffers, tag implementation cost |
42 | Barry S. Fagin, Amit Mital |
The Performance of Counter- and Correlation-Based Schemes for Branch Target Buffers. |
IEEE Trans. Computers |
1995 |
DBLP DOI BibTeX RDF |
Branch correlation, performance modeling, branch prediction, trace-driven simulation, branch target buffer |
42 | Chris H. Perleberg, Alan Jay Smith |
Branch Target Buffer Design and Optimization. |
IEEE Trans. Computers |
1993 |
DBLP DOI BibTeX RDF |
branch target buffer design, branch tag, prediction information, branch target address, optimization, complexity, caching, pipeline processing, buffer storage, instructions, instruction sets, pipelined processors, branches, performance penalty, least recently used |
42 | Zhizhong Tang, Gang Chen, Chihong Zhang, Yingwei Zhang, Bogong Su, Stanley Habib |
GPMB - software pipelining branch-intensive loops. |
MICRO |
1993 |
DBLP DOI BibTeX RDF |
branch overlapping, branch-intensive loop-level parallelism, multi-branch switch, processing element |
Displaying result #1 - #100 of 8790 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|