|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1344 occurrences of 952 keywords
|
|
|
Results
Found 1847 publication records. Showing 1847 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
106 | Hyesoon Kim, Onur Mutlu, Jared Stark, Yale N. Patt |
Wish Branches: Combining Conditional Branching and Predication for Adaptive Predicated Execution. |
MICRO |
2005 |
DBLP DOI BibTeX RDF |
|
82 | Erik Jacobsen, Eric Rotenberg, James E. Smith 0001 |
Assigning Confidence to Conditional Branch Predictions. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
branch correctness, conditional branch predictions, dynamic branches, processor resources, static branches, resource allocation |
77 | Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park |
Scheduling of conditional branches using SSA form for superscalar/VLIW processors. |
ICPADS |
1996 |
DBLP DOI BibTeX RDF |
conditional branches scheduling, very long instruction word processors, compensation code, optimization, computational complexity, complexity, parallel architectures, processor scheduling, superscalar processors, instruction sets, instruction set, VLIW processors, code motion, global scheduling, conditional branches, SSA |
75 | Minghui Yang, Gang-Ryung Uh, David B. Whalley |
Efficient and effective branch reordering using profile data. |
ACM Trans. Program. Lang. Syst. |
2002 |
DBLP DOI BibTeX RDF |
branch reordering, profiling, Conditional branches |
71 | Hyesoon Kim, Onur Mutlu, Yale N. Patt, Jared Stark |
Wish Branches: Enabling Adaptive and Aggressive Predicated Execution. |
IEEE Micro |
2006 |
DBLP DOI BibTeX RDF |
Wish branches, wish loops, branch prediction, predicated execution |
71 | Patrick Felke |
On the Affine Transformations of HFE-Cryptosystems and Systems with Branches. |
WCC |
2005 |
DBLP DOI BibTeX RDF |
nonassociative algebra, mixed centralizer, finite fields, affine transformations, branches, HFE |
65 | Shunsuke Ota, Daisuke Deguchi, Takayuki Kitasaka, Kensaku Mori, Yasuhito Suenaga, Yoshinori Hasegawa, Kazuyoshi Imaizumi, Hirotsugu Takabatake, Masaki Mori, Hiroshi Natori |
Augmented Display of Anatomical Names of Bronchial Branches for Bronchoscopy Assistance. |
MIAR |
2008 |
DBLP DOI BibTeX RDF |
bronchus, anatomical labeling, bronchoscopy guidance, virtual bronchoscopy, chest CT image, multi-class AdaBoost |
65 | Nicholas J. Wang, Michael Fertig, Sanjay J. Patel |
Y-Branches: When You Come to a Fork in the Road, Take It. |
IEEE PACT |
2003 |
DBLP DOI BibTeX RDF |
|
61 | Sreeram Duvvuru, Siamak Arya |
Evaluation of a branch target address cache. |
HICSS (1) |
1995 |
DBLP DOI BibTeX RDF |
branch target address cache evaluation, sequential flow, pipeline bubbles, branch penalty, cycles per instruction, multiple instruction issue processors, branch resolution scheme, target instruction fetch, unpredictable branches, fully predicated processor architecture, fetch stage, branch target caching policies, branch target address cache, register-relative branches, performance evaluation, interrupts, interrupt, program compilers, pipeline processing, cache storage, storage allocation, instructions, program control structures, cache sizes |
58 | Hyesoon Kim, José A. Joao, Onur Mutlu, Chang Joo Lee, Yale N. Patt, Robert Cohn |
VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
devirtualization, indirect branch prediction, virtual functions |
58 | Hyontai Sug |
Reducing on the Number of Testing Items in the Branches of Decision Trees. |
ICCSA (4) |
2004 |
DBLP DOI BibTeX RDF |
|
57 | C. Strantza, X. Damaskos, Michael Doumpos, Constantin Zopounidis |
A multicriteria methodology for developing a performance measurement model for bank branches. |
Oper. Res. |
2003 |
DBLP DOI BibTeX RDF |
Bank branches efficiency, Linear programming, Regression, Bootstrap |
57 | Seong-Uk Choi, Sung-Soon Park, Myong-Soon Park |
Eliminating Conditional Branches for Enhancing Instruction Level Parallelism in VLIW Compiler. |
ISPAN |
1996 |
DBLP DOI BibTeX RDF |
Compiler, Instruction Level Parallelism, VLIW, Superscalar, Conditional Branches |
55 | Hyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt |
Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths. |
MICRO |
2006 |
DBLP DOI BibTeX RDF |
|
51 | Kensaku Mori, Shunsuke Ota, Daisuke Deguchi, Takayuki Kitasaka, Yasuhito Suenaga, Shingo Iwano, Yosihnori Hasegawa, Hirotsugu Takabatake, Masaki Mori, Hiroshi Natori |
Automated Anatomical Labeling of Bronchial Branches Extracted from CT Datasets Based on Machine Learning and Combination Optimization and Its Application to Bronchoscope Guidance. |
MICCAI (1) |
2009 |
DBLP DOI BibTeX RDF |
|
51 | Pavel Loskot, Norman C. Beaulieu |
Decorrelation and Orthogonalization of Correlated Diversity Branches for HS/MRC Diversity. |
VTC Spring |
2008 |
DBLP DOI BibTeX RDF |
|
51 | Paul Ho, Raymond Kwan, Xianbin Wang 0001 |
Switching Rate of Generalized Selection Combining with Non-Identical Branches in Rayleigh Fading Channels. |
VTC Spring |
2008 |
DBLP DOI BibTeX RDF |
|
51 | Reinaldo A. Valenzuela, Luciano Ahumada, Rodolfo Feick |
The Effect of Unbalanced Branches on the Performance of Diversity Receivers for Urban Fixed Wireless Links. |
IEEE Trans. Wirel. Commun. |
2007 |
DBLP DOI BibTeX RDF |
|
51 | Arpad Gellert, Adrian Florea, Maria N. Vintan, Colin Egan, Lucian N. Vintan |
Unbiased Branches: An Open Problem. |
Asia-Pacific Computer Systems Architecture Conference |
2007 |
DBLP DOI BibTeX RDF |
unbiased branch, branch difference value prediction, Branch prediction |
51 | Manuel Lois Anido, Alexander Paar, Nader Bagherzadeh |
Improving the Operation Autonomy of SIMD Processing Elements by Using Guarded Instructions and Pseudo Branches. |
DSD |
2002 |
DBLP DOI BibTeX RDF |
|
51 | Kensaku Mori, Jun-ichi Hasegawa, Yasuhito Suenaga, Jun-ichiro Toriwaki, Hirofumi Anno, Kazuhiro Katada |
Automated Labeling of Bronchial Branches in Virtual Bronchoscopy System. |
MICCAI |
1998 |
DBLP DOI BibTeX RDF |
|
49 | Minghui Yang, Gang-Ryung Uh, David B. Whalley |
Improving Performance by Branch Reordering. |
PLDI |
1998 |
DBLP DOI BibTeX RDF |
|
47 | Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal |
Improving accuracy in path delay fault coverage estimation. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
fault coverage estimation, simulated vector pair, exact fault simulation, fixed-length path-segments, fan-in branches, fan-out branches, flagged path-segments, segment lengths, combinational paths, graph theory, fault diagnosis, logic testing, delays, combinational circuits, logic CAD, circuit analysis computing, path delay fault, approximate methods, CPU time |
44 | Carlos Andradas, Tomás Recio |
Plotting missing points and branches of real parametric curves. |
Appl. Algebra Eng. Commun. Comput. |
2007 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classification (2000) 14-Q05, 68-W30 |
44 | Lucian N. Vintan, Arpad Gellert, Adrian Florea, Marius Oancea, Colin Egan |
Understanding Prediction Limits Through Unbiased Branches. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Miroslav N. Velev |
Formal Verification of Pipelined Microprocessors with Delayed Branches. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Renju Thomas, Manoj Franklin, Chris Wilkerson, Jared Stark |
Improving Branch Prediction by Dynamic Dataflow-Based Identification of Correlated Branches from a Large Global History. |
ISCA |
2003 |
DBLP DOI BibTeX RDF |
|
42 | Meng Yang 0011, Bin Sheng 0001, Enhua Wu, Hanqiu Sun |
Multi-resolution tree motion synthesis in angular shell space. |
VRCAI |
2009 |
DBLP DOI BibTeX RDF |
PTMC, frequency decomposition, shell dynamics, vibration |
42 | Paul Biggar, Nicholas Nash, Kevin Williams 0001, David Gregg |
An experimental study of sorting and branch prediction. |
ACM J. Exp. Algorithmics |
2008 |
DBLP DOI BibTeX RDF |
caching, Sorting, branch prediction, pipeline architectures |
42 | Eduardo Quiñones, Joan-Manuel Parcerisa, Antonio González 0001 |
Improving Branch Prediction and Predicated Execution in Out-of-Order Processors. |
HPCA |
2007 |
DBLP DOI BibTeX RDF |
|
42 | William C. Kreahling, David B. Whalley, Mark W. Bailey, Xin Yuan 0001, Gang-Ryung Uh, Robert van Engelen |
Branch Elimination via Multi-variable Condition Merging. |
Euro-Par |
2003 |
DBLP DOI BibTeX RDF |
|
42 | Rafael R. dos Santos, Tatiana Gadelha Serra dos Santos, Maurício L. Pilla, Philippe Olivier Alexandre Navaux, Sergio Bampi, Mario Nemirovsky |
Complex Branch Profiling for Dynamic Conditional Execution. |
SBAC-PAD |
2003 |
DBLP DOI BibTeX RDF |
|
42 | Tao Li 0006, Ravi Bhargava, Lizy Kurian John |
Rehashable BTB: An Adaptive Branch Target Buffer to Improve the Target Predictability of Java Code. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
|
41 | Jae Weon Hong, Won Eui Hong, Yoon-Sik Kwak |
The Study of Development Strategy for Bank Distribution Network through the Analysis of Inter-regional Financial Transaction Network. |
FGIT |
2009 |
DBLP DOI BibTeX RDF |
Distribution network strategies, bank branches |
41 | Kishore N. Menezes, Sumedh W. Sathaye, Thomas M. Conte |
Path Prediction for High Issue-Rate Processors. |
IEEE PACT |
1997 |
DBLP DOI BibTeX RDF |
high issue-rate processors, path prediction, issue bandwidth, multiple branches, path prediction automaton, arbitrary subgraphs, scalability single access prediction, low hardware cost, instruction-level parallelism, pipeline processing, speculative execution, cycle, performance improvement |
41 | Michael Jackson 0001 |
Problems, Methods and Specialization. |
IEEE Softw. |
1994 |
DBLP DOI BibTeX RDF |
software methods, software problems, software specialization, specialized software engineering branches, software engineering, software development, engineering |
41 | Augustus K. Uht |
Requirements for Optimal Execution of Loops with Tests. |
IEEE Trans. Parallel Distributed Syst. |
1992 |
DBLP DOI BibTeX RDF |
loops with tests, branch intensive code, dynamic branches, Booleanrecurrence, order one, dependence cycle, time cycle, imperative code, parallelprogramming, loop iterations |
41 | Antonio González 0001, José M. Llabería |
Instruction fetch unit for parallel execution of branch instructions. |
ICS |
1989 |
DBLP DOI BibTeX RDF |
instruction cache memory, zero time cost branches, pipelined processors, control dependencies, branch instructions |
37 | Kensaku Mori, Sinya Ema, Takayuki Kitasaka, Yoshito Mekada, Ichiro Ide, Hiroshi Murase, Yasuhito Suenaga, Hirotsugu Takabatake, Masaki Mori, Hiroshi Natori |
Automated Nomenclature of Bronchial Branches Extracted from CT Images and Its Application to Biopsy Path Planning in Virtual Bronchoscopy. |
MICCAI (2) |
2005 |
DBLP DOI BibTeX RDF |
|
37 | Carlos Oliver-Morales, Katya Rodríguez-Vázquez |
Symbolic Regression Problems by Genetic Programming with Multi-branches. |
MICAI |
2004 |
DBLP DOI BibTeX RDF |
|
37 | Katya Rodríguez-Vázquez, Carlos Oliver-Morales |
Multi-branches Genetic Programming as a Tool for Function Approximation. |
GECCO (2) |
2004 |
DBLP DOI BibTeX RDF |
|
36 | José Carlos Metrôlho, Mónica Isabel Teixeira Costa |
Branches of professional organizations a way to enrich student's scientific and personal skills. |
ITiCSE |
2008 |
DBLP DOI BibTeX RDF |
student branches, pedagogy |
36 | Donald F. Towsley |
Correction to "Allocating Programs Containing Branches and Loops Within a Multiple Processor System". |
IEEE Trans. Software Eng. |
1990 |
DBLP DOI BibTeX RDF |
typographical errors, scheduling, operating systems (computers), loops, branches, multiple processor system |
36 | Fred Kaudel |
Comments on "Allocating Programs Containing Branches and Loops Within a Multiple Processor System". |
IEEE Trans. Software Eng. |
1990 |
DBLP DOI BibTeX RDF |
programs allocation, assignment algorithms, scheduling, operating systems (computers), loops, branches, multiple processor system |
35 | Magnus Björk |
First Order Stålmarck. |
J. Autom. Reason. |
2009 |
DBLP DOI BibTeX RDF |
Stålmarck’s method, Universal lemmas, First order logic, Automated theorem proving, Intersections |
35 | Kyle N. Sivertsen, Anthony E.-L. Liou, David G. Michelson |
Depolarization of Propagating Signals by Narrowband Ricean Fading Channels. |
VTC Spring |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Nikzad Babaii Rizvandi, Aleksandra Pizurica, Wilfried Philips |
Automatic Individual Detection and Separation of Multiple Overlapped Nematode Worms Using Skeleton Analysis. |
ICIAR |
2008 |
DBLP DOI BibTeX RDF |
Overlap worms, Skeleton angle analysis, Computer vision, Image processing, Worm detection |
35 | Gül Gökay Emel, Çagatan Taskin |
A Two-Stage Approach for Improving Service Management in Retail Banking. |
OR |
2007 |
DBLP DOI BibTeX RDF |
Finance and Banking, Data Mining, Data Envelopment Analysis |
35 | Xiang Bai, Longin Jan Latecki, Wenyu Liu 0001 |
Skeleton Pruning by Contour Partitioning. |
DGCI |
2006 |
DBLP DOI BibTeX RDF |
discrete curve evolution, Skeleton, skeleton pruning |
35 | Ramesh Annavajjala, Ananthanarayanan Chockalingam, Laurence B. Milstein |
Further results on selection combining of binary NCFSK signals in Rayleigh fading channels. |
IEEE Trans. Commun. |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Ernst Kleiberg, Huub van de Wetering, Jarke J. van Wijk |
Botanical Visualization of Huge Hierarchies. |
INFOVIS |
2001 |
DBLP DOI BibTeX RDF |
botanical tree, logical tree, huge hierarchy, directory tree, phyllotaxis, tree visualization, strands |
35 | Simonjit Dutta, Manoj Franklin |
Control Flow Prediction Schemes for Wide-Issue Superscalar Processors. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
Block-level prediction, multiple-issue processors, multiple-branch prediction, tree-level prediction, speculative execution, trace cache, instruction-level parallelism (ILP) |
35 | Scott A. Mahlke, Richard E. Hank, Roger A. Bringmann, John C. Gyllenhaal, David M. Gallagher, Wen-mei W. Hwu |
Characterizing the impact of predicated execution on branch prediction. |
MICRO |
1994 |
DBLP DOI BibTeX RDF |
|
34 | Dragan Milicev, Zoran Jovanovic |
A Formal Model of Software Pipelining Loops with Conditions. |
IPPS |
1997 |
DBLP DOI BibTeX RDF |
software pipelining loops, PSP model, parallel programming, finite state machine, formal model, software pipelining, parallelizing loops, conditional branches |
30 | Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou |
Address-branch correlation: A novel locality for long-latency hard-to-predict branches. |
HPCA |
2008 |
DBLP DOI BibTeX RDF |
|
30 | Tao Wei, Jian Mao, Wei Zou, Yu Chen |
Structuring 2-way Branches in Binary Executables. |
COMPSAC (1) |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Eryk Laskowski, Marek Tudruj |
Scheduling Programs with Conditional Branches for Look-Ahead Dynamically Reconfigurable Systems. |
PDP |
2006 |
DBLP DOI BibTeX RDF |
|
30 | B. Ugur Töreyin, A. Enis Çetin |
Wavelet based detection of moving tree branches and leaves in video. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
30 | Marta S. R. Monteiro, Dalila B. M. M. Fontes |
Locating and Sizing Bank-Branches by Opening, Closing or Maintaining Facilities. |
OR |
2005 |
DBLP DOI BibTeX RDF |
Bank-branch, Concave Optimization, Heuristics, Location |
30 | Yi-Kuei Lin |
Reliability of a stochastic-flow network with unreliable branches & nodes, under budget constraints. |
IEEE Trans. Reliab. |
2004 |
DBLP DOI BibTeX RDF |
|
30 | Norman Ramsey, Cristina Cifuentes |
A transformational approach to binary translation of delayed branches. |
ACM Trans. Program. Lang. Syst. |
2003 |
DBLP DOI BibTeX RDF |
program transformation, program analysis, Binary translation |
30 | Katya Rodríguez-Vázquez, Carlos Oliver-Morales |
Divide and Conquer: Genetic Programming Based on Multiple Branches Encoding. |
EuroGP |
2003 |
DBLP DOI BibTeX RDF |
|
30 | Mark G. Stoodley, Corinna G. Lee |
Software Pipelining Loops with Conditional Branches. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
|
28 | Ranjan K. Mallik, Swati Jain, Rohit K. Garodia |
Performance of the decorrelating multiuser detector in a correlated fading environment. |
IEEE Trans. Wirel. Commun. |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Ping Tan, Tian Fang, Jianxiong Xiao, Peng Zhao, Long Quan |
Single image tree modeling. |
ACM Trans. Graph. |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Kshitiz Malik, Mayank Agarwal, Vikram Dhar, Matthew I. Frank |
PaCo: Probability-based path confidence prediction. |
HPCA |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Christophe Paul, Andrzej Proskurowski, Jan Arne Telle |
Generation of Graphs with Bounded Branchwidth. |
WG |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Fei Gao, Suleyman Sair |
Exploiting Intra-function Correlation with the Global History Stack. |
SAMOS |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Marc Berndl, Benjamin Vitale, Mathew Zaleski, Angela Demke Brown |
Context Threading: A Flexible and Efficient Dispatch Technique for Virtual Machine Interpreters. |
CGO |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Daniel Chonghwan Lee, Lih-feng Tsaur |
A proof that uncorrelated branch SNRs yield the lowest error rate for MRC receivers operating over Nakagami-m-fading channels. |
IEEE Trans. Wirel. Commun. |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Makoto Okabe, Takeo Igarashi |
3D modeling of trees from freehand sketches. |
SIGGRAPH |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Oliverio J. Santana, Ayose Falcón, Enrique Fernández, Pedro Medina, Alex Ramírez, Mateo Valero |
A Comprehensive Analysis of Indirect Branch Prediction. |
ISHPC |
2002 |
DBLP DOI BibTeX RDF |
indirect branch, Multi-Stage Cascaded Predictor, branch prediction, microarchitecture, Branch Target Buffer |
28 | Waleed Meleis, Alexandre E. Eichenberger, Ivan D. Baev |
Scheduling Superblocks with Bound-Based Branch Trade-Offs. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
ILP compiler technique, lower bound, scheduling heuristic, Superblock |
28 | Harish Patil, Joel S. Emer |
Combining Static and Dynamic Branch Prediction to Reduce Destructive Aliasing. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
Conditional branch prediction, static branch prediction, profile-based optimization |
28 | Alexandre E. Eichenberger, Waleed Meleis |
Balance Scheduling: Weighting Branch Tradeoffs in Superblocks. |
MICRO |
1999 |
DBLP DOI BibTeX RDF |
ILP compiler technique, weighted completion time, lower bound, scheduling heuristic, Superblock |
28 | Robert Bernecky |
EGREGION: a branch coverage tool for APL. |
APL |
1998 |
DBLP DOI BibTeX RDF |
|
28 | Marius Evers, Sanjay J. Patel, Robert S. Chappell, Yale N. Patt |
An Analysis of Correlation and Predictability: What Makes Two-Level Branch Predictors Work. |
ISCA |
1998 |
DBLP DOI BibTeX RDF |
|
28 | Taewhan Kim, Noritake Yonezawa, Jane W.-S. Liu, C. L. Liu 0001 |
A scheduling algorithm for conditional resource sharing-a hierarchical reduction approach. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1994 |
DBLP DOI BibTeX RDF |
|
27 | Miao Wang, Rongcai Zhao, Jianmin Pang, Guoming Cai |
Reconstructing Control Flow in Modulo Scheduled Loops. |
ACIS-ICIS |
2008 |
DBLP DOI BibTeX RDF |
register rotation, modulo scheduling, decompilation, predication execution, conditional branches |
27 | Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan |
Power-Aware Branch Prediction: Characterization and Design. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking |
27 | Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra |
Dynamic Branch Decoupled Architecture. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
dynamic, decoupling, branches |
27 | Lizy Kurian John, Vinod Reddy, Paul T. Hulina, Lee D. Coraor |
A comparative evaluation of software techniques to hide memory latency. |
HICSS (1) |
1995 |
DBLP DOI BibTeX RDF |
comparative software evaluation, software oriented techniques, superscalar machines, superpipelined machines, software cache prefetching, data fetch request, software controlled prefetching, aggressive prefetching, memory bandwidth requirements, bus traffic, performance, parallel machines, program compilers, processor scheduling, software performance evaluation, software pipelining, pipeline processing, microarchitecture, cache storage, instruction set architecture, memory latency, loop unrolling, static scheduling, conditional branches |
27 | Siamak Arya, Howard Sachs, Sreeram Duvvuru |
An architecture for high instruction level parallelism. |
HICSS (1) |
1995 |
DBLP DOI BibTeX RDF |
high instruction level parallelism, sequential order, code execution, dataflow problems, condition bits, nonblocking cache, Software Scheduled SuperScalar, parallel programming, compiler, parallel architectures, parallel architecture, pipelining, program compilers, data flow analysis, software pipelining, pipeline processing, data flow, processor architecture, speculative execution, control flow, hardware support, program control structures, branches, registers, functional units, multiple instructions, conditional execution |
27 | Jayesh Siddhiwala, Liang-Fang Chao |
Scheduling conditional data-flow graphs with resource sharing. |
Great Lakes Symposium on VLSI |
1995 |
DBLP DOI BibTeX RDF |
conditional data-flow graphs, resource sharing algorithm, pipeline scheduling algorithms, loop constructs, condition vector, dynamic resource sharing, rotation scheduling technique, parallel algorithms, data structures, data structure, resource allocation, high level synthesis, high level synthesis, processor scheduling, pipeline processing, data flow graphs, loop pipelining, conditional branches |
27 | Víctor H. Champac, Joan Figueras |
Testability of floating gate defects in sequential circuits. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
floating gate defect testability, logic detectability conditions, defective transistors, logically untestable branches, scan path cell, CMOS latch cell, scan path flip-flops, fault diagnosis, logic testing, integrated circuit testing, sequential circuits, sequential circuits, simulated results, flip-flops, CMOS logic circuits, integrated circuit modelling, I/sub DDQ/ testing |
27 | Keerthi Heragu, Vishwani D. Agrawal, Michael L. Bushnell |
Statistical methods for delay fault coverage analysis. |
VLSI Design |
1995 |
DBLP DOI BibTeX RDF |
delay fault coverage analysis, true value simulation, multi-value logic system, implicit random path sampling procedure, linear-time estimate, fault coverage estimates, longest path theorem, fanout branches, fault diagnosis, logic testing, delays, probability, statistical analysis, observabilities, multivalued logic, propagation delay, detection probabilities, statistical techniques, transition probabilities |
23 | Suhyun Kim, Soo-Mook Moon |
Rotating register allocation with multiple rotating branches. |
ICS |
2008 |
DBLP DOI BibTeX RDF |
rotating register, register allocation, software pipelining |
23 | Zhang-Lin Cheng, Xiaopeng Zhang 0001, Baoquan Chen |
Simple Reconstruction of Tree Branches from a Single Range Image. |
J. Comput. Sci. Technol. |
2007 |
DBLP DOI BibTeX RDF |
cylinder fitting, generalized circular cylinder, tree branch modeling, skeleton |
23 | Antonio Zenteno Ramírez, Guillermo Espinosa, Víctor H. Champac |
Design-for-Test Techniques for Opens in Undetected Branches in CMOS Latches and Flip-Flops. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Jesús T. Pastor, C. A. Knox Lovell, Henry Tulkens |
Evaluating the financial performance of bank branches. |
Ann. Oper. Res. |
2006 |
DBLP DOI BibTeX RDF |
Variable deletion tests, Banking, Performance indicators |
23 | Hyesoon Kim, M. Aater Suleman, Onur Mutlu, Yale N. Patt |
2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set. |
CGO |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Fredy Rivera, Milagros Fernández, Nader Bagherzadeh |
An Approach to Execute Conditional Branches onto SIMD Multi-Context Reconfigurable Architectures. |
DSD |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Eryk Laskowski, Marek Tudruj |
Task Scheduling for Look-Ahead Reconfigurable Systems in Presence of Conditional Branches. |
PPAM |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Nirmal Kumar Das, Takashi Inoue, Tetsuki Taniguchi, Yoshio Karasawa |
An experiment on MIMO system having three orthogonal polarization diversity branches in multipath-rich environment. |
VTC Fall (2) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Lucian N. Vintan, Marius Sbera, Ioan Z. Mihu, Adrian Florea |
An alternative to branch prediction: pre-computed branches. |
SIGARCH Comput. Archit. News |
2003 |
DBLP DOI BibTeX RDF |
complexity evaluations, multiple instruction issue, performance, pipelining, speculative execution, execution driven simulation, dynamic branch prediction |
23 | André Galligo, David Rupprecht |
Semi-numerical determination of irreducible branches of a reduced space curve. |
ISSAC |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Franco Gasperoni, Uwe Schwiegelshohn |
List Scheduling in the Presence of Branches: A Theoretical Evaluation. |
Euro-Par, Vol. II |
1996 |
DBLP DOI BibTeX RDF |
|
23 | Wen-mei W. Hwu, Thomas M. Conte, Pohua P. Chang |
Comparing Software and Hardware Schemes For Reducing the Cost of Branches. |
ISCA |
1989 |
DBLP DOI BibTeX RDF |
|
21 | Muawya Al-Otoom, Elliott Forbes, Eric Rotenberg |
EXACT: explicit dynamic-branch prediction with active updates. |
Conf. Computing Frontiers |
2010 |
DBLP DOI BibTeX RDF |
branch prediction, microarchitecture, superscalar processors |
21 | Kitty K. Y. Wong, Peter J. McLane |
Reduced-complexity equalization techniques for ISI and MIMO wireless channels in iterative decoding. |
IEEE J. Sel. Areas Commun. |
2008 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 1847 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|