|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 175 occurrences of 137 keywords
|
|
|
Results
Found 539 publication records. Showing 539 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
153 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Minimum-Area Sequential Budgeting for FPGA. |
ICCAD |
2003 |
DBLP DOI BibTeX RDF |
|
139 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Sequential delay budgeting with interconnect prediction. |
IEEE Trans. Very Large Scale Integr. Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
120 | Yuichi Nakamura 0002, Mitsuru Tagata, Takumi Okamoto, Shigeyoshi Tawada, Ko Yoshikawa |
Budgeting-free hierarchical design method for large scale and high-performance LSIs. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
budgeting, physical synthesis, hierarchical design |
99 | Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan |
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
FPGA, low power, retiming |
99 | Elaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi 0001, Majid Sarrafzadeh |
Optimal integer delay budgeting on directed acyclic graphs. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
|
95 | Elaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi 0001, Majid Sarrafzadeh |
Optimal integer delay-budget assignment on directed acyclic graphs. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
93 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Delay budgeting in sequential circuit with application on FPGA placement. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
delay budgeting, FPGA, placement, sequential circuits |
85 | Xueqian Zhao, Yonghe Guo, Zhuo Feng, Shiyan Hu |
Parallel hierarchical cross entropy optimization for on-chip decap budgeting. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
parallel computing, cross-entropy, decoupling capacitor |
85 | Olivier Omedes, Michel Robert, Mohammed Ramdani |
A flexibility aware budgeting for hierarchical flow timing closure. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
81 | Soheil Ghiasi, Elaheh Bozorgzadeh, Siddharth Choudhuri, Majid Sarrafzadeh |
A unified theory of timing budget management. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
79 | Bjarte Bogsnes |
Keynote: Beyond Budgeting in a Lean and Agile World. |
XP |
2009 |
DBLP DOI BibTeX RDF |
Beyond budgeting, lean development, agile development, leadership |
79 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Sequential delay budgeting with interconnect prediction. |
SLIP |
2003 |
DBLP DOI BibTeX RDF |
delay budgeting, sequential circuits, interconnect prediction |
74 | Le Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan |
Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
programming method, decoupling capacitor budgeting algorithm, random walk approach, decap budgeting algorithm, power ground network design, isolation property, decap optimization process, leakage currents optimization algorithm, refined leakage model, heuristic method |
72 | Ripal Nathuji, Karsten Schwan |
Vpm tokens: virtual machine-aware power budgeting in datacenters. |
HPDC |
2008 |
DBLP DOI BibTeX RDF |
virtualization, power management |
72 | Jinjun Xiong, Lei He 0001 |
Full-chip routing optimization with RLC crosstalk budgeting. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
72 | Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez |
A delay budgeting algorithm ensuring maximum flexibility in placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
60 | Lawrence D. Phillips, Carlos A. Bana e Costa |
Transparent prioritisation, budgeting and resource allocation with multi-criteria decision analysis and decision conferencing. |
Ann. Oper. Res. |
2007 |
DBLP DOI BibTeX RDF |
Managerial decision making, Multi-criteria decision analysis, Prioritisation, Commons dilemma, Capital planning, Capital budgeting, Resource allocation, System design, Procurement, Real-world applications, Budgeting, Equity |
58 | Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan |
Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming. |
ACM Trans. Design Autom. Electr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
FPGA, Low power, retiming |
58 | Ian Gow, Stefan Reichelstein |
Capital Budgeting: The Role of Cost Allocations. |
OR |
2006 |
DBLP DOI BibTeX RDF |
|
58 | Juan Carlos Vidal, Manuel Lama, Alberto Bugarín, Senén Barro |
Problem-Solving Analysis for the Budgeting Task in Furniture Industry. |
KES |
2003 |
DBLP DOI BibTeX RDF |
|
54 | Love Singhal, Elaheh Bozorgzadeh, David Eppstein |
Interconnect Criticality-Driven Delay Relaxation. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
54 | Soheil Ghiasi, Elaheh Bozorgzadeh, Po-Kuan Huang, Roozbeh Jafari, Majid Sarrafzadeh |
A Unified Theory of Timing Budget Management. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
52 | Ricardo Matheus, Manuella Maia Ribeiro |
Models for citizen engagement in Latin American: case studies of public digital budgeting. |
ICEGOV |
2009 |
DBLP DOI BibTeX RDF |
Latin American, citizen engagement, public digital budgeting, e-governance, e-government |
52 | Jan vom Brocke, Christian Buddendick, Alexander Simons |
Reference Modeling for Higher Education Budgeting: Applying the H2 Toolset for Conceptual Modeling of Performance-Based Funding Systems. |
Business Process Management Workshops |
2007 |
DBLP DOI BibTeX RDF |
H2, Higher Education (HE), Performance-Based Funding, Reference Modeling, Information Model, Budgeting, Incentive System, Indicator System |
52 | Min Zhao 0001, Rajendran Panda, Savithri Sundareswaran, Shu Yan, Yuhong Fu |
A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
sequence of linear programming, macromodeling, budgeting, decoupling capacitance |
44 | Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 |
Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
44 | Peng-Yang Hung, Ying-Shu Lou, Yih-Lang Li |
Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
Shield insertion, track routing, crosstalk optimization, global routing |
44 | Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 |
Efficient decoupling capacitance budgeting considering operation and process variations. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Ruiming Chen, Hai Zhou 0001 |
Timing budgeting under arbitrary process variations. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Pieter J. L. Cuijpers, Reinder J. Bril |
Towards Budgeting in Real-Time Calculus: Deferrable Servers. |
FORMATS |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Jialin Mi, Chunhong Chen |
Power-Oriented Delay Budgeting for Combinational Circuits. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Jin-Tai Yan, Kai-Ping Lin, Yue-Fong Luo |
Floorplan-aware decoupling capacitance budgeting on equivalent circuit model. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Jialin Mi, Chunhong Chen, H. K. Kwan |
Power-oriented delay budgeting for combinational circuits. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Jinjun Xiong, Jun Chen 0008, James D. Z. Ma, Lei He 0001 |
Post global routing RLC crosstalk budgeting. |
ICCAD |
2002 |
DBLP DOI BibTeX RDF |
|
44 | Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez |
Unification of Budgeting and Placement. |
DAC |
1997 |
DBLP DOI BibTeX RDF |
|
39 | Tadaaki Tanimoto, Seiji Yamaguchi, Akio Nakata, Teruo Higashino |
A real time budgeting method for module-level-pipelined bus based system using bus scenarios. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
bus based systems, cycle budgeting, real-time systems, pipelined processing, multimedia processing |
39 | Shi-Zheng Eric Lin, Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai |
Optimal time borrowing analysis and timing budgeting optimization for latch-based designs. |
ACM Trans. Design Autom. Electr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
latch-based design, time borrowing, timing budgeting, static timing analysis, Cycle stealing |
39 | Dhritiman Banerjee, Biswanath Mukherjee |
Wavelength-routed optical networks: linear formulation, resource budgeting tradeoffs, and a reconfiguration study. |
IEEE/ACM Trans. Netw. |
2000 |
DBLP DOI BibTeX RDF |
resource budgeting, optimization, reconfigurability, optical network, integer linear program, WDM, lightpath, wavelength routing, virtual topology |
35 | Beth Rugg, Lisa Efing |
A centralized approach to managing a large student staff. |
SIGUCCS |
2009 |
DBLP DOI BibTeX RDF |
student employment, scheduling, training, management, centralization, recruitment, supervision, budgeting |
35 | Elizabeth A. Kemp, Damian Pacitto, Elisabeth G. Todd, David I. Gray |
The role of functional prototyping in model validation. |
ISCNZ |
1996 |
DBLP DOI BibTeX RDF |
functional prototyping, KADS framework, object-oriented knowledge representation model, summer-autumn management, feed budgeting, production level analysis, knowledge acquisition, problem solving, model validation, design decisions, system implementation, domain expertise |
34 | David Valle-Cruz, Vanessa Fernandez-Cortez, J. Ramón Gil-García |
From E-budgeting to smart budgeting: Exploring the potential of artificial intelligence in government decision-making for resource allocation. |
Gov. Inf. Q. |
2022 |
DBLP DOI BibTeX RDF |
|
34 | Robert Zepic, Marcus M. Dapp, Helmut Krcmar |
Participatory Budgeting without Participants: Identifying Barriers on Accessibility and Usage of German Participatory Budgeting. |
CeDEM |
2017 |
DBLP DOI BibTeX RDF |
|
34 | Garry Lohan |
A Brief History of Budgeting: Reflections on Beyond Budgeting, Its Link to Performance Management and Its Appropriateness for Software Development. |
LESS |
2013 |
DBLP DOI BibTeX RDF |
|
34 | Wipawee Uppatumwichian |
The relationship between ERP systems and budgeting: Uncovering the limited ERP system impact on budgeting. |
AMCIS |
2012 |
DBLP BibTeX RDF |
|
31 | Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum |
Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems |
31 | Ehsan Pakbaznia, Massoud Pedram |
Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Wei Jiang, Zhiru Zhang, Miodrag Potkonjak, Jason Cong |
Scheduling with integer time budgeting for low-power optimization. |
ASP-DAC |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Susan Coleman Morse, Augusto Kitover Lobo Alves |
The NestEgg: a budgeting tool. |
CHI Extended Abstracts |
2008 |
DBLP DOI BibTeX RDF |
financial management, spending, budget, homelessness |
31 | Hang Li, Jeffrey Fan, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong |
Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Lawrence A. Gordon, Martin P. Loeb |
Budgeting process for information security expenditures. |
Commun. ACM |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Soheil Ghiasi, Po-Kuan Huang |
Probabilistic Delay Budgeting for Soft Realtime Applications. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Soheil Ghiasi |
Efficient Implementation Selection via Time Budgeting Complexity Analysis and Leakage Optimization Case Study. |
ICCD |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Jinwu Gao, Jianhua Zhao, Xiaoyu Ji |
Fuzzy Chance-Constrained Programming for Capital Budgeting Problem with Fuzzy Decisions. |
FSKD (1) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong |
Partitioning-based approach to fast on-chip decap budgeting and minimization. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
on-chi, power/grid networks, simulation, optimization, IR drop, decoupling capacitor |
31 | Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 |
Efficient statistical timing analysis through error budgeting. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Kai Wang 0011, Malgorzata Marek-Sadowska |
Potential Slack Budgeting with Clock Skew Optimization. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Mario Straßberger |
Continuous Market Risk Budgeting in Financial Institutions. |
GfKl |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Josef Makolm |
Process Reengineering on Base of Law . The New Austrian States Budgeting and Bookkeeping System. |
EGOV |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Andrew C. Ling, Jianwen Zhu, Stephen Dean Brown |
Delay driven AIG restructuring using slack budget management. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
aig, budget management, logic synthesis, network flow |
27 | Joseph C. Thomas, Steven W. Baker |
Establishing an Agile Portfolio to Align IT Investments with Business Needs. |
AGILE |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Soheil Ghiasi, Po-Kuan Huang, Roozbeh Jafari |
Probabilistic delay budget assignment for synthesis of soft real-time applications. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh |
On effective slack management in postscheduling phase. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Jan vom Brocke, Maik A. Lindner |
Service portfolio measurement: a framework for evaluating the financial consequences of out-tasking decisions. |
ICSOC |
2004 |
DBLP DOI BibTeX RDF |
IT-controlling, portfolio measurement, service-oriented business applications, service-oriented architectures, return on investment, portfolio management, total cost of ownership |
27 | Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh |
Timing-driven placement using design hierarchy guided constraint generation. |
ICCAD |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Neeraj Kaul |
Design planning trends and challenges. |
ISPD |
2010 |
DBLP DOI BibTeX RDF |
clock planning, feedthrough generation, macro placement, power domains, power planning, time budgeting, voltage areas, prototyping, partitioning, floorplanning, feasibility, hierarchical design, constraints generation, pin assignment |
22 | Scott Chamberlain |
Winning all around, providing a help desk service point at the learning commons. |
SIGUCCS |
2009 |
DBLP DOI BibTeX RDF |
scheduling, management, help desk, customer service, supervision, budgeting |
22 | David Ríos Insua, Gregory E. Kersten, Jesus Rios, Carlos Grima |
Towards decision support for participatory democracy. |
Inf. Syst. E Bus. Manag. |
2008 |
DBLP DOI BibTeX RDF |
Negotiation analysis, Participatory budgeting, Participatory democracy, Decision support, Electronic democracy |
22 | Love Singhal, Sejong Oh, Eli Bozorgzadeh |
Yield maximization for system-level task assignment and configuration selection of configurable multiprocessors. |
CODES+ISSS |
2008 |
DBLP DOI BibTeX RDF |
configuration selection, delay budgeting, process variation, task allocation, within-die variation, timing yield |
22 | Yao-Wen Hsu, Bart M. Lambrecht |
Preemptive patenting under uncertainty and asymmetric information. |
Ann. Oper. Res. |
2007 |
DBLP DOI BibTeX RDF |
Capital budgeting, Asymmetric information, Market entry, Learning, Preemption, Real options |
22 | Ming-Cheng Wu, Simon H. Yen, Kuo-Ren Lou |
Pricing real abandonment options on several R&D investment projects. |
Soft Comput. |
2007 |
DBLP DOI BibTeX RDF |
Real abandonment options, Managerial flexibility, Capital budgeting, Research and development |
22 | Soheil Ghiasi, Elaheh Bozorgzadeh, Karlene Nguyen, Majid Sarrafzadeh |
Efficient Timing Budget Management for Accuracy Improvement in a Collaborative Object Tracking System. |
J. VLSI Signal Process. |
2006 |
DBLP DOI BibTeX RDF |
accuracy-latency tradeoff, delay budgeting, object tracking, collaborative applications |
22 | Mat Felthousen |
Combining audio/visual and computing support. |
SIGUCCS |
2005 |
DBLP DOI BibTeX RDF |
AV equipment, design, reliability, standardization, computer labs, budgeting |
17 | Victoria Palacin, Samantha McDonald, Pablo Aragón, Matti Nelimarkka |
Configurations of Digital Participatory Budgeting. |
ACM Trans. Comput. Hum. Interact. |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Lodewijk Gelauff, Ashish Goel |
Rank, Pack, or Approve: Voting Methods in Participatory Budgeting. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Martin Durand, Fanny Pascual |
Detecting and taking Project Interactions into account in Participatory Budgeting. |
CoRR |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Majid Beikverdi, Nasim Ghanbar Tehrani, Kamran Shahanaghi |
A Bi-level model for district-fairness participatory budgeting: Decomposition methods and application. |
Eur. J. Oper. Res. |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Haris Aziz 0001, Xinhang Lu, Mashbat Suzuki, Jeremy Vollen, Toby Walsh |
Fair Lotteries for Participatory Budgeting. |
AAAI |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Chien-Yu Lu, Bo-Jr Huang, Min-Chieh Chen, Ollie Tsai, Alfred Tsai, Eric Jia-Wei Fang, Yuju Cho, Harry H. Chen, Ping Kao, Ericbill Wang, Hugh Mair, Shih-Arn Hwang |
14.4 A Fully Digital Current Sensor Offering Per-Core Runtime Power for System Budgeting in a 4nm-Plus Octa-Core CPU. |
ISSCC |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Magdalena Roszczynska-Kurasinska, Agnieszka Rychwalska, Nina Wróblewska |
The problem of low participation in participatory budgeting from the perspective of adoption of innovation. |
HICSS |
2024 |
DBLP BibTeX RDF |
|
17 | Gogulapati Sreedurga |
Hybrid Participatory Budgeting: Divisible, Indivisible, and Beyond. |
AAMAS |
2024 |
DBLP BibTeX RDF |
|
17 | Marc Serramia, Maite López-Sánchez, Juan A. Rodríguez-Aguilar, Stefano Moretti 0001 |
Value Alignment in Participatory Budgeting. |
AAMAS |
2024 |
DBLP BibTeX RDF |
|
17 | Piotr Faliszewski, Lukasz Janeczko, Andrzej Kaczmarczyk 0001, Grzegorz Lisowski, Piotr Skowron 0001, Stanislaw Szufa |
Strategic Cost Selection in Participatory Budgeting. |
AAMAS |
2024 |
DBLP BibTeX RDF |
|
17 | Yilin Ma, Yudong Wang, Weizhong Wang, Chong Zhang |
Prediction-based mean-variance portfolios with risk budgeting based on neural networks. |
Expert Syst. Appl. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Zachariah Sutton, Peter Willett 0001, Stefano Maranò 0001, Yaakov Bar-Shalom |
Identity-Aware Decision Network Communication Budgeting: Is Who as Important as What? |
IEEE Trans. Aerosp. Electron. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Xin Li 0042, Zhi Li, Yaqi Ju, Xiaofei Zhang, Rongyao Wang, Wei Zhou 0020 |
COP: A Combinational Optimization Power Budgeting Method for Manycore Systems in Dark Silicon. |
IEEE Trans. Computers |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Avinash Bhardwaj, Manjesh K. Hanawal, Purushottam Parthasarathy |
Almost exact risk budgeting with return forecasts for portfolio allocation. |
Oper. Res. Lett. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Srijoni Majumdar, Evangelos Pournaras |
Consensus-based Participatory Budgeting for Legitimacy: Decision Support via Multi-agent Reinforcement Learning. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Gogulapati Sreedurga |
Participatory Budgeting With Multiple Degrees of Projects And Ranged Approval Votes. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Simon Rey, Jan Maly 0001 |
The (Computational) Social Choice Take on Indivisible Participatory Budgeting. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Niclas Boehmer, Piotr Faliszewski, Lukasz Janeczko, Andrzej Kaczmarczyk 0001 |
Robustness of Participatory Budgeting Outcomes: Complexity and Experiments. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Sonja Kraiczy, Edith Elkind |
An Adaptive and Verifiably Proportional Method for Participatory Budgeting. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Mohak Goyal, Sahasrajit Sarmasarkar, Ashish Goel |
A Mechanism for Participatory Budgeting With Funding Constraints and Project Interactions. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Roy Fairstein, Gerdus Benadè, Kobi Gal |
Participatory Budgeting Design for the Real World. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Yao Liu 0009, Pratik Chaudhari, Rasool Fakoor |
Budgeting Counterfactual for Offline RL. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Joshua Chu-Yue Yang, Carina Ines Hausladen, Dominik Peters, Evangelos Pournaras, Regula Hänggli Fricker, Dirk Helbing |
Designing Digital Voting Systems for Citizens: Achieving Fairness and Legitimacy in Digital Participatory Budgeting. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Jonathan Wagner, Reshef Meir |
Strategy-proof Budgeting via a VCG-like Mechanism. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Piotr Faliszewski, Jaroslaw Flis, Dominik Peters, Grzegorz Pierczynski, Piotr Skowron 0001, Dariusz Stolicki, Stanislaw Szufa, Nimrod Talmon |
Participatory Budgeting: Data, Tools, and Analysis. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Niclas Boehmer, Piotr Faliszewski, Lukasz Janeczko, Dominik Peters, Grzegorz Pierczynski, Simon Schierreich, Piotr Skowron 0001, Stanislaw Szufa |
Evaluation of Project Performance in Participatory Budgeting. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Simon Rey, Ulle Endriss |
Epistemic Selection of Costly Alternatives: The Case of Participatory Budgeting. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Mohak Goyal, Sukolsak Sakshuwong, Sahasrajit Sarmasarkar, Ashish Goel |
Low Sample Complexity Participatory Budgeting. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 539 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ >>] |
|