|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5912 occurrences of 2755 keywords
|
|
|
Results
Found 14022 publication records. Showing 14022 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
81 | Abdelkader Lahmadi, Laurent Andrey, Olivier Festor |
On Delays in Management Frameworks: Metrics, Models and Analysis. |
DSOM |
2006 |
DBLP DOI BibTeX RDF |
Management delays analysis, Management delays metrics, Management delays modelling |
80 | Kumar N. Lalgudi, Marios C. Papaefthymiou |
Efficient retiming under a general delay model. |
ARVLSI |
1995 |
DBLP DOI BibTeX RDF |
retiming algorithm, general delay model, edge-triggered circuits, load-dependent gate delays, register delays, integer linear programming constraints, integer phonotonic programming formulation, linear programming, delays, timing, integer programming, logic design, logic design, logic circuits, clock skew, propagation delays, interconnect delays |
62 | Sumit Ghosh |
In Search of the Origin of VHDL's Delta Delays. |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
Delta delay, simulation accuracy, BCL, Conlan, continuous systems, simulation, timing, discrete event simulation, VHDL, hardware, hardware description language, HDLs |
59 | Li-Hsing Yen |
Probabilistic analysis of causal message ordering. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
causal message ordering, potential causality, unpredictable message delays, unbounded message delays, bounded message delays, deferred sending, delays, message passing, causality, probabilistic analysis, uniform distribution, exponential distribution, exponential distribution, modular decomposition |
52 | Theodora A. Varvarigou, Vwani P. Roychowdhury, Thomas Kailath, Eugene L. Lawler |
Scheduling In and Out Forests in the Presence of Communication Delays. |
IEEE Trans. Parallel Distributed Syst. |
1996 |
DBLP DOI BibTeX RDF |
out-forest precedence graphs, out-forest precedence graphs, optimal deterministic schedules, polynomial-time algorithms, Communication delays, multiprocessor architectures |
47 | Patricia Balbastre, Ismael Ripoll, Alfons Crespo |
Control tasks delay reduction under static and dynamic scheduling policies. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
control task delay reduction, dynamic scheduling policy, static scheduling policy, control action delays, dynamic scheduling policies, data acquisition interval, control action interval, DAI, control design phase, scheduling, delays, control systems, industrial application, data acquisition, data acquisition, scheduling policies, static scheduling, control algorithms, EDF scheduling, industrial control, CAI, worst case response time, task decomposition, control performances, digital control |
46 | C. R. Venugopal, S. S. S. P. Rao |
Impact of Delays in Parallel I/O System: An Empirical Study. |
HPDC |
1996 |
DBLP DOI BibTeX RDF |
parallel input output system, disk access delays, disk performance, parallel distributed file system, parallel processing, delays, distributed databases, multiprocessing systems, multiprocessor system, software performance evaluation, communication links, application performance, processor speeds, performance benefits |
45 | Ravi Mirchandaney, Donald F. Towsley, John A. Stankovic |
Analysis of the Effects of Delays on Load Sharing. |
IEEE Trans. Computers |
1989 |
DBLP DOI BibTeX RDF |
symmetric algorithm, load-sharing algorithms, remote state information, matrix-geometric solution technique, scheduling, performance evaluation, distributed systems, delays, delays, distributed processing, Markov processes, queueing theory, task scheduling, performance metrics, queueing models, communication delays, forward, performance characteristics, homogeneous system |
43 | Matthias Müller-Hannemann, Mathias Schnee |
Efficient Timetable Information in the Presence of Delays. |
Robust and Online Large-Scale Optimization |
2009 |
DBLP DOI BibTeX RDF |
timetable information system, primary and secondary delays, dynamic graph update, dependency graph |
43 | Shujun Tan, Zhi-Gang Wu, Wanxie Zhong |
Optimal induced norm computation of discrete H∞ control systems with time-delays. |
J. Glob. Optim. |
2008 |
DBLP DOI BibTeX RDF |
Discrete systems with time-delays, Optimal H ? induced norm, Extended W-W algorithm |
43 | Ulrike Meyer, Jing Shao, Saurish Chakrabarty, Sebastian F. Brandt, Harald Luksch, Ralf Wessel |
Distributed delays stabilize neural feedback systems. |
Biol. Cybern. |
2008 |
DBLP DOI BibTeX RDF |
Optic tectum, Nucleus isthmi, Delays, Feedback, Vision |
43 | Kwang Sung Park, Jin Bae Park, Yoon Ho Choi, Zhong Li, Nam Hyun Kim |
Design of H2 Controllers for Sampled-Data Systems with Input Time Delays. |
Real Time Syst. |
2004 |
DBLP DOI BibTeX RDF |
sampled-data systems, input time delays, lifting technique, H 2 sampled-data controller, real-time control systems |
42 | Eric Sanlaville |
Sensitivity bounds for machine scheduling with uncertain communication delays. |
J. Sched. |
2005 |
DBLP DOI BibTeX RDF |
transportation delays, uncertainty, sensitivity analysis, communication delays, machine scheduling |
42 | Xinzhu Meng, Huidong Cheng, Lansun Chen |
Profitless delays for permanence in a pure-delayed nonautomous Lotka-Volterra competitive system with infinite delays and discrete delays. |
SNPD (1) |
2007 |
DBLP DOI BibTeX RDF |
|
39 | Themistoklis Charalambous, Ioannis Lestas, Glenn Vinnicombe |
On the stability of the Foschini-Miljanic algorithm with time-delays. |
CDC |
2008 |
DBLP DOI BibTeX RDF |
|
39 | Nageswara S. V. Rao |
Overlay networks of in situ instruments for probabilistic guarantees on message delays in wide-area networks. |
IEEE J. Sel. Areas Commun. |
2004 |
DBLP DOI BibTeX RDF |
|
38 | Zhengxia Wang, Xiaofeng Liao 0001, Jiali Mao, Guodong Liu |
Robust stability of stochastic genetic regulatory networks with discrete and distributed delays. |
Soft Comput. |
2009 |
DBLP DOI BibTeX RDF |
Stochastic perturbation, Distributed delays, Robust stability, LMI, Genetic network, Uncertain system |
38 | Ke Ding, Nan-Jing Huang |
Global Robust Exponential Stability of Interval General BAM Neural Network with Delays. |
Neural Process. Lett. |
2006 |
DBLP DOI BibTeX RDF |
robust exponential stability, delays, interval neural networks |
37 | Stephen H. Unger |
Hazards, Critical Races, and Metastability. |
IEEE Trans. Computers |
1995 |
DBLP DOI BibTeX RDF |
critical race, dynamic hazards, essential hazards, inertial delays, pure delays, sequential logic, timing problems, delays, Asynchronous, metastability, timing simulation |
37 | Guy Even, Ami Litman |
Overcoming chip-to-chip delays and clock skews. |
ASAP |
1996 |
DBLP DOI BibTeX RDF |
chip-to-chip delays, chip-to-chip interconnections, feasible clock period, large systolic linear arrays, systolic two-dimensional arrays, logic duplication, delays, logic design, systolic arrays, systolic array, functionality, retiming, clock skews |
35 | Michael V. Basin, Peng Shi 0001, Darío Calderon-Alvarez |
Central suboptimal Hinfinity filter design for linear time-varying systems with state and measurement delays. |
CDC |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Danko Nikolic |
Non-parametric detection of temporal order across pairwise measurements of time delays. |
J. Comput. Neurosci. |
2007 |
DBLP DOI BibTeX RDF |
Phase offset, Temporal-order code, Transitivity, Additivity, Cross correlation |
35 | Jirí Síma |
On the Complexity of Training a Single Perceptron with Programmable Synaptic Delays. |
ALT |
2003 |
DBLP DOI BibTeX RDF |
|
34 | Chengzhi Li, Riccardo Bettati, Wei Zhao 0001 |
Static priority scheduling for ATM networks. |
RTSS |
1997 |
DBLP DOI BibTeX RDF |
dynamic priority scheduling, delay computation, priority assignment problems, potential cyclic dependency, unstable network, delay sensitive applications, worst case end to end delays, priority assignment methods, asynchronous transfer mode, ATM networks, numerical method, ATM switches, packet delays, arbitrary topology, delay constraints, priority assignment, traffic scheduling, static priority scheduling, computing error |
34 | Eytan H. Modiano |
Scheduling packet transmissions in a multi-hop packet switched network based on message length. |
ICCCN |
1997 |
DBLP DOI BibTeX RDF |
packet transmissions scheduling, multi-hop packet switched network, message transmission delays reduction, preemptive algorithm, shortest-message-first principle, shortest-remaining-transmit-time, message sizes, first-come-first-serve scheduling, end-to-end message delays, algorithms, performance analysis, packet switching, network protocols, simulation models, message length |
34 | Andrew B. Kahng, Kei Masuko, Sudhakar Muddu |
Analytical delay models for VLSI interconnects under ramp input. |
ICCAD |
1996 |
DBLP DOI BibTeX RDF |
SPICE-computed delay, VLSI routing topologies layout, analytical delay models, arbitrary interconnect trees, interconnect transfer function, performance-driven synthesis, ramp input, source-sink delays, VLSI, Elmore delay, interconnect delays, VLSI interconnects, RLC interconnections |
34 | Gary C. Moyer, Mark Clements, Wentai Liu, Toby Schaffer, Ralph K. Cavin III |
A technique for high-speed, fine-resolution pattern generation and its CMOS implementation. |
ARVLSI |
1995 |
DBLP DOI BibTeX RDF |
high-speed fine-resolution pattern generation, data signals, edge placement, matched delays, MOSIS CMOS technology, 100 ps, 833 Mbit/s, architecture, delays, test pattern generators, network interfaces, CMOS digital integrated circuits, 1.2 micron |
34 | Tapan J. Chakraborty, Vishwani D. Agrawal |
Simulation of at-speed tests for stuck-at faults. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test |
34 | Anurag Kumar 0001, Rajeev Shorey |
Performance Analysis and Scheduling of Stochastic Fork-Join Jobs in a Multicomputer System. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
stochastic fork-join jobs, probabilistic allocation of tasks, associated random variables, diffusion limits, simulations, scheduling, scheduling, performance evaluation, performance analysis, parallel processing, lower bounds, delays, queueing theory, digital simulation, upper bounds, stochastic processes, multicomputer system, parallel processing system, queueing delays, exact analysis |
34 | Gregor von Bochmann |
Delay-Independent Design for Distributed Systems. |
IEEE Trans. Software Eng. |
1988 |
DBLP DOI BibTeX RDF |
interconnected modules, module interfaces, distributed systems, protocols, protocols, synchronization, delays, distributed processing, regularity, communication delays |
34 | Ke Ding, Nan-Jing Huang, Xing Xu |
Global Robust Exponential Stability of Interval BAM Neural Network with Mixed Delays under Uncertainty. |
Neural Process. Lett. |
2007 |
DBLP DOI BibTeX RDF |
mixed delays, uncertainty, robust stability, LMI, interval neural networks |
34 | David J. Roberts 0001, Paul M. Sharkey |
Maximising Concurrency and Scalability in a Consistent, Causal, Distributed Virtual Reality System Whilst Minimising the Effect of Network Delays. |
WETICE |
1997 |
DBLP DOI BibTeX RDF |
distributed virtual reality system, simulation systems, scalable causal event delivery, update control, scalable reliable communication, wall clock, causal time-related entity behaviour, virtual reality, scalability, concurrency, standards, HLA, network delays, DIS, multi-user systems |
34 | Jiye Zhang, Dianbo Ren, Weihua Zhang |
Global Exponential Stability of Fuzzy Cohen-Grossberg Neural Networks with Variable Delays and Distributed Delays. |
ICIC (2) |
2007 |
DBLP DOI BibTeX RDF |
Neural networks, fuzzy, time delay, global exponential stability |
33 | Branislava Perunicic, Salim Lakhani, Veljko M. Milutinovic |
Stochastic Modeling and Analysis of Propagation Delays in GaAs Adders. |
IEEE Trans. Computers |
1991 |
DBLP DOI BibTeX RDF |
GaAs adders, stochastic changes, III-V semiconductors, probability, combinational circuits, stochastic modelling, stochastic processes, adders, combinatorial circuits, propagation delays, gate delays, GaAs, gallium arsenide, probability distribution function |
33 | Kang G. Shin, Parameswaran Ramanathan |
Transmission Delays in Hardware Clock Synchronization. |
IEEE Trans. Computers |
1988 |
DBLP DOI BibTeX RDF |
hardware clock synchronization, malicious faults, delays, synchronisation, clocks, Byzantine faults, transmission delays, time overhead, clock signals |
31 | Jay Cheng |
Constructions of Fault-Tolerant Optical 2-to-1 FIFO Multiplexers. |
IEEE Trans. Inf. Theory |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Takuo Nakashima |
Experimental Analysis of Propagation Properties Implementing the Path-Based Measurement. |
AINA (1) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | T. N. Vijaykumar, Zeshan Chishti |
Wire Delay is Not a Problem for SMT (In the Near Future). |
ISCA |
2004 |
DBLP DOI BibTeX RDF |
|
30 | Seong-ryong Kang, Dmitri Loguinov |
IMR-Pathload: Robust Available Bandwidth Estimation Under End-Host Interrupt Delay. |
PAM |
2008 |
DBLP DOI BibTeX RDF |
interrupt moderation, interrupt delays, network measurement, Bandwidth estimation |
30 | David Wessels, Jon C. Muzio |
The dangers of simplistic delay models. |
J. Electron. Test. |
1996 |
DBLP DOI BibTeX RDF |
critical paths, Delay models, path sensitization, circuit delays |
30 | Zhigang Zeng, Huangqiong Chen, Shiping Wen 0001 |
Global exponential stability of recurrent neural networks with pure time-varying delays. |
IJCNN |
2008 |
DBLP DOI BibTeX RDF |
|
30 | In Lee, Seungmoon Choi |
Discrimination of Virtual Environments Under Visual and Haptic Rendering Delays. |
FBIT |
2007 |
DBLP DOI BibTeX RDF |
|
30 | Tao Zhang, Yuanchun Li |
Delay-dependent robust stabilization of uncertain systems with interval time-varying state and input delays. |
IROS |
2006 |
DBLP DOI BibTeX RDF |
|
30 | Tolga Urhan, Michael J. Franklin, Laurent Amsaleg |
Cost Based Query Scrambling for Initial Delays. |
SIGMOD Conference |
1998 |
DBLP DOI BibTeX RDF |
|
29 | Minseok Song 0001, Naehyuck Chang, Heonshik Shin |
A new queue discipline for various delay and jitter requirements in real-time packet-switched networks. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
fairness requirements, real-time packet-switched networks, data packet scheduling, service priority, jitter bound, Rate-controlled Frame-based Weighted Round Robin, frame-based WRR server, constant buffer space, scheduling, asynchronous transfer mode, delays, queueing theory, packet switching, bandwidth, ATM networks, rate controller, jitter, telecommunication traffic, end-to-end delays, delay bound |
29 | Chengzhi Li, Edward W. Knightly |
Coordinated Network Scheduling: A Framework for End-to-End Services. |
ICNP |
2000 |
DBLP DOI BibTeX RDF |
coordinated network scheduling, end-to-end services, downstream nodes, upstream nodes, low delays, FIFO+, inter-node coordination, CEDF, work-conserving CJVC, distributed theory, traffic envelopes, statistical admission control, traffic distortion, efficient resource utilization, modified first-in-first-out, coordinated earliest-deadline-first, core-jitter virtual clock, delays, congestion control, queueing theory, packet switching, packet schedulers, telecommunication network routing, telecommunication traffic, telecommunication congestion control, telecommunication services, multi-hop networks, network routers, end-to-end performance |
29 | Ishfaq Ahmad, Yu-Kwong Kwok |
A parallel approach for multiprocessor scheduling. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
parallel approach, low complexity static scheduling, arbitrary network topologies, iPSC/860 hypercube, task graph size, communication-to-computation ratio, target system topology, scheduling, parallel algorithms, parallel algorithm, computational complexity, delays, message passing, multiprocessing systems, network topology, hypercube networks, multiprocessor scheduling, communication delays, task graph, message routing, parallel tasks, message-passing architectures, link contention |
29 | Luis F. G. Sarmenta, Gill A. Pratt, Stephen A. Ward |
Rational clocking [digital systems design]. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
rational clocking, independently-clocked digital subsystems, finite probability, phase relationship, delays, delays, logic design, logic design, synchronisation, clocks, minimisation of switching nets, digital systems design, synchronization failure |
29 | Habib Youssef, Sadiq M. Sait, Khaled Nassar, Muhammad S. T. Benten |
Performance driven standard-cell placement using the genetic algorithm. |
Great Lakes Symposium on VLSI |
1995 |
DBLP DOI BibTeX RDF |
connection length, timing-driven placer, /spl alpha/-criticality, delay performance improvement, genetic algorithms, genetic algorithm, delays, timing, logic CAD, circuit layout CAD, cellular arrays, integrated circuit layout, critical paths, area, propagation delays, wire length, timing performance, IC design, standard-cell placement |
29 | Alexander Saldanha, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli |
Functional clock schedule optimization. |
VLSI Design |
1995 |
DBLP DOI BibTeX RDF |
clock schedule optimization, time frames, level-sensitive sequential circuits, scheduling, delays, delays, timing, sequential circuits, flip-flops, clocks, circuit optimisation, latches, false paths |
29 | Qiang Tong, Ziyun Wang |
Compensate the Speech Recognition Delays for Accurate Speech-Based Cursor Position Control. |
HCI (2) |
2009 |
DBLP DOI BibTeX RDF |
navigation, delays, Speech recognition, mouse, cursor control |
29 | Jianqin Wang, Qiankun Song |
Passivity Analysis of Neural Networks with Time-Varying Delays of Neutral Type. |
ISNN (1) |
2009 |
DBLP DOI BibTeX RDF |
Neutral type, Neural networks, Passivity, Time-varying delays |
29 | Jinming Liang, Qiankun Song |
Global Passivity of Stochastic Neural Networks with Time-Varying Delays. |
ISNN (1) |
2009 |
DBLP DOI BibTeX RDF |
Passivity, Time-varying delays, Stochastic neural networks |
29 | Long Wang 0001, Feng Xiao 0002 |
A new approach to consensus problems in discrete-time multiagent systems with time-delays. |
Sci. China Ser. F Inf. Sci. |
2007 |
DBLP DOI BibTeX RDF |
communication topologies, multiagent system decomposition, time-delays, consensus problems |
29 | Ali Mahmino, Jérôme Lacan, Christian Fraboul |
Enhancing Guaranteed Delays with Network Coding. |
Networking |
2007 |
DBLP DOI BibTeX RDF |
worst-case delays, Network Coding, buffering, network calculus |
29 | Evripidis Bampis, Alexander V. Kononov |
Bicriteria approximation algorithms for scheduling problems with communications delays. |
J. Sched. |
2005 |
DBLP DOI BibTeX RDF |
scheduling, approximability, communications delays, multicriteria optimization |
29 | Peter Brucker, Sigrid Knust, T. C. Edwin Cheng, Natalia V. Shakhlevich |
Complexity Results for Flow-Shop and Open-Shop Scheduling Problems with Transportation Delays. |
Ann. Oper. Res. |
2004 |
DBLP DOI BibTeX RDF |
transportation delays, shop problems, scheduling, time-lags, complexity results |
29 | Evripidis Bampis, Rodolphe Giroudeau, Alexander V. Kononov |
Scheduling Tasks with Small Communication Delays for Clusters of Processors. |
Ann. Oper. Res. |
2004 |
DBLP DOI BibTeX RDF |
scheduling, approximation algorithms, communication delays |
29 | Platon A. Prokopiou, Spyros G. Tzafestas, William S. Harwin |
A Novel Scheme for Human-Friendly and Time-Delays Robust Neuropredictive Teleoperation. |
J. Intell. Robotic Syst. |
1999 |
DBLP DOI BibTeX RDF |
neuropredictive teleoperation, human arm model, time-delays compensation, hypothetical neural input/electromyograph prediction, enhanced Yokokohji-Yoshikawa scheme |
29 | Sunan Huang 0001, Wei Ren 0009 |
Autonomous Intelligent Cruise Control with Actuator Delays. |
J. Intell. Robotic Syst. |
1998 |
DBLP DOI BibTeX RDF |
actuator delays, stability, autonomous control |
29 | Ingrid Y. Bucher, Donald A. Calahan |
Models of Access Delays in Multiprocessor Memories. |
IEEE Trans. Parallel Distributed Syst. |
1992 |
DBLP DOI BibTeX RDF |
multiprocessor memories, interleaved common memory, multipleprocessors, access conflicts, pipelined accessoperations, performance evaluation, queueing theory, multiprocessing systems, memory architecture, random access, queuing model, access delays |
27 | Milind Borkar, Volkan Cevher, James H. McClellan |
Decentralized State Initialization with Delay Compensation for Multi-modal Sensor Networks. |
J. VLSI Signal Process. |
2007 |
DBLP DOI BibTeX RDF |
decentralized processing, sensor networks, data fusion, Monte Carlo methods, initialization, multi-modal |
27 | Luis Nero Alves, Luis Barbosa, E. A. L. Macedo, Rui L. Aguiar |
General model for delayed feedback and its application to transimpedance amplifier's bandwidth optimization. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Ruchir Puri, David S. Kung 0001, Anthony D. Drumm |
Fast and accurate wire delay estimation for physical synthesis of large ASICs. |
ACM Great Lakes Symposium on VLSI |
2002 |
DBLP DOI BibTeX RDF |
placement driven synthesis, wire delay, estimation, integrated circuit design |
27 | Srinivas Vutukury, J. J. Garcia-Luna-Aceves |
A Simple Approximation to Minimum-Delay Routing. |
SIGCOMM |
1999 |
DBLP DOI BibTeX RDF |
|
26 | Manish Sharma, Janak H. Patel |
Bounding Circuit Delay by Testing a Very Small Subset of Paths. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
Linear relations between path delays, Basis path set, Delay fault testing |
26 | Vishwani D. Agrawal |
Low-Power Design by Hazard Filtering. |
VLSI Design |
1997 |
DBLP DOI BibTeX RDF |
hazard filtering, multiple transitions, hazard pulses, differential delay, low-power design, power consumption, CMOS logic circuits, CMOS circuit, logic gate, gate delays |
26 | Yurong Liu, Zidong Wang, Jinling Liang, Xiaohui Liu 0001 |
Synchronization and State Estimation for Discrete-Time Complex Networks With Distributed Delays. |
IEEE Trans. Syst. Man Cybern. Part B |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Huaguang Zhang, Zhanshan Wang, Derong Liu 0001 |
Robust Stability Analysis for Interval Cohen-Grossberg Neural Networks With Unknown Time-Varying Delays. |
IEEE Trans. Neural Networks |
2008 |
DBLP DOI BibTeX RDF |
|
26 | Yi Shen 0002, Jun Wang 0002 |
Noise-Induced Stabilization of the Recurrent Neural Networks With Mixed Time-Varying Delays and Markovian-Switching Parameters. |
IEEE Trans. Neural Networks |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Michael Tunstall, Olivier Benoît |
Efficient Use of Random Delays in Embedded Software. |
WISTP |
2007 |
DBLP DOI BibTeX RDF |
Smart card security, fault attack countermeasures, side channel attack countermeasures |
26 | Michael V. Basin, Rodolfo Martinez-Zuniga, Edgar N. Sánchez |
Optimal Filtering for Linear Systems with Multiple State and Observation Delays. |
ICICIC (3) |
2006 |
DBLP DOI BibTeX RDF |
stochastic time-delay system, Optimal filtering |
26 | Lih Wen Koh, Oliver Diessel |
Functional Unit Chaining: A Runtime Adaptive Architecture for Reducing Bypass Delays. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Tao Xiang 0001, Xiaofeng Liao 0001, Jian Huang |
Global Attractivity of Cohen-Grossberg Model with Delays. |
ISNN (1) |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Jiankun Hu, J. Lin, L. Xie |
Robust Congestion Control for High Speed Data Networks with Uncertain Time-Variant Delays: an LMI Control Approach. |
LCN |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Fang-Xiang Wu, Anthony J. Kusalik, Wen-Jun Zhang 0001 |
A. Genetic Algorithm for Inferring Time Delays in Gene Regulatory Networks. |
CSB |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Lixiong Li, Minrui Fei, Xiaobing Zhou |
Analysis on Network-Induced Delays in Networked Learning Based Control Systems. |
CIS |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Mihail L. Sichitiu, Peter H. Bauer, Kamal Premaratne |
The effect of uncertain time-variant delays in ATM networks with explicit rate feedback: a control theoretic approach. |
IEEE/ACM Trans. Netw. |
2003 |
DBLP DOI BibTeX RDF |
|
26 | Ramesh Johari, David Kim Hong Tan |
End-to-end congestion control for the internet: delays and stability. |
IEEE/ACM Trans. Netw. |
2001 |
DBLP DOI BibTeX RDF |
Delayed systems, end-to-end congestion control, distributed systems |
26 | David M. Halliday |
Temporal Coding in Neuronal Populations in the Presence of Axonal and Dendritic Conduction Time Delays. |
Emergent Neural Computational Architectures Based on Neuroscience |
2001 |
DBLP DOI BibTeX RDF |
|
26 | Ivan Vaghi, Chris Greenhalgh, Steve Benford |
Coping with inconsistency due to network delays in collaborative virtual environments. |
VRST |
1999 |
DBLP DOI BibTeX RDF |
perception of delay, distributed systems, user interfaces, consistency, CVEs, collaborative virtual environments, transparency, network delay |
26 | Shangzhi Sun, David Hung-Chang Du, Hsi-Chuan Chen |
Efficient timing analysis for CMOS circuits considering data dependent delays. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Rolf H. Möhring, Markus W. Schäffter, Andreas S. Schulz |
Scheduling Jobs with Communication Delays: Using Infeasible Solutions for Approximation (Extended Abstract). |
ESA |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Andreas Jakoby, Rüdiger Reischuk |
The Complexity of Scheduling Problems with Communication Delays for Trees. |
SWAT |
1992 |
DBLP DOI BibTeX RDF |
|
25 | Toby J. Teorey, Wee Teck Ng |
Dependability and Performance Measures for the Database Practitioner. |
IEEE Trans. Knowl. Data Eng. |
1998 |
DBLP DOI BibTeX RDF |
Database performance estimation, restart delays, reliability, dependability, response time, queuing delays, mean time to failure |
25 | Marcel Lapointe, Huu Tuê Huynh, Paul Fortier |
Systematic Design of Pipelined Recursive Filters. |
IEEE Trans. Computers |
1993 |
DBLP DOI BibTeX RDF |
systematic design, pipelined recursive filters, multiplication algorithm, most significant digit first, pipelining delays, minimum hardware, minimum latency, number system radix, second-order all-pole filter, radix-4 representation, delays, digital arithmetic, pipeline processing, multiplier, digital filters |
25 | Pak K. Chan, Martine D. F. Schlag, Clark D. Thomborson, Vojin G. Oklobdzija |
Delay Optimization of Carry-Skip Adders and Block Carry-Lookahead Adders Using Multidimensional Dynamic Programming. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
delay optimisation, block carry-lookahead adders, multidimensional dynamic programming, worst-case carry propagation delays, minimum latency, fanin, dynamic programming, digital arithmetic, adders, gate delays, carry logic, fanout, critical path delay, carry-skip adders |
25 | James B. Sinclair |
Optimal Assignments in Broadcast Networks. |
IEEE Trans. Computers |
1988 |
DBLP DOI BibTeX RDF |
broadcast communication channels, computer networks distributed programs, total execution cost, processor costs, amount of data transmitted, average transmission delays, critical delay, optimal assignments, tree-structured calls graphs, minimum channel utilization, compact graphical representation, minimum transmission costs, data communication systems, dynamic programming, dynamic programming, graph theory, delays, distributed processing, computer networks, multiprocessor interconnection networks, communication costs, broadcast networks |
25 | Clyde P. Kruskal, Marc Snir, Alan Weiss |
The Distribution of Waiting Times in Clocked Multistage Interconnection Networks. |
IEEE Trans. Computers |
1988 |
DBLP DOI BibTeX RDF |
buffered interconnection networks, clocked multistage interconnection networks, random delay, multistage packet-switching banyan network, total delay distribution, spatial steady state, performance evaluation, delays, delays, multiprocessor interconnection networks, queueing theory, queueing theory, buffer storage, waiting times, formulas, conjectures, waiting times distribution |
25 | Yonggang Chen, Wenlin Li, Weiping Bi |
Improved Results on Passivity Analysis of Uncertain Neural Networks with Time-Varying Discrete and Distributed Delays. |
Neural Process. Lett. |
2009 |
DBLP DOI BibTeX RDF |
Passivity analysis, Uncertain neural networks, Time-varying discrete and distributed delays, Linear matrix inequalities (LMIs) |
25 | Jin-Liang Shao, Ting-Zhu Huang, Sheng Zhou |
Global Asymptotic Robust Stability and Global Exponential Robust Stability of Neural Networks with Time-Varying Delays. |
Neural Process. Lett. |
2009 |
DBLP DOI BibTeX RDF |
Global robust stability, Neural networks, Time-varying delays |
25 | Lianwen Wang |
Approximate controllability results of semilinear integrodifferential equations with infinite delays. |
Sci. China Ser. F Inf. Sci. |
2009 |
DBLP DOI BibTeX RDF |
approximate controllability, semilinear control systems, integrodifferential equations, infinite delays |
25 | Hongyong Yang, Guangdeng Zong |
Adjustable Consensus of Mobile Agent Systems with Heterogeneous Delays. |
Complex (2) |
2009 |
DBLP DOI BibTeX RDF |
heterogeneous communication delays, weighted average consensus, Mobile agent system, adjustable |
25 | Jianting Zhou, Qiankun Song, Jianxi Yang |
Impulsive Exponential Synchronization of Coupled Fuzzy Neural Networks with Time-Varying Delays. |
ISNN (1) |
2009 |
DBLP DOI BibTeX RDF |
Exponential synchronization, Fuzzy neural networks, Time-varying delays, Impulsive |
25 | Jinhua Huang, Jiqing Liu |
Passivity Analysis of a General Form of Recurrent Neural Network with Multiple Delays. |
ISNN (3) |
2009 |
DBLP DOI BibTeX RDF |
Multiple delays, Passivity, Activation function |
25 | Chunxue Wu, Bao Shi |
Existence and Stability of Periodic Solutions for BAM Neural Networks with Time-Varying Delays and Impulses. |
ISNN (1) |
2009 |
DBLP DOI BibTeX RDF |
BAM neural networks, coincidence degree, delays, periodic solutions, impulse |
25 | Anhua Wan, Weihua Mao |
Criteria for Exponential Stability of Cohen-Grossberg Neural Networks with Multiple Time-Varying Delays. |
ICIC (2) |
2008 |
DBLP DOI BibTeX RDF |
Cohen-Grossberg neural networks, multiple time-varying delays, exponential stability |
25 | Marieke Rohde, Ezequiel A. Di Paolo |
Adaptation to Sensory Delays. |
ECAL |
2007 |
DBLP DOI BibTeX RDF |
Sensory Delays, Sensorimotor Adaptation, Evolutionary Robotics |
25 | Oualid Jouini, Yves Dallery |
Predicting queueing delays for multiclass call centers. |
VALUETOOLS |
2006 |
DBLP DOI BibTeX RDF |
predicting delays, telephone call centers, stochastic models, transient analysis |
25 | Qiang Zhang 0008, Xiaopeng Wei, Jin Xu |
Global Asymptotic Stability Analysis of Neural Networks with Time-Varying Delays. |
Neural Process. Lett. |
2005 |
DBLP DOI BibTeX RDF |
Lyapunov-Razumikhin technique, time-varying delays, global asymptotic stability |
Displaying result #1 - #100 of 14022 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|