|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 229 occurrences of 108 keywords
|
|
|
Results
Found 220 publication records. Showing 220 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
113 | Mark Redford, Joseph Sawicki, Prasad Subramaniam, Cliff Hou, Yervant Zorian, Kimon Michaels |
DFM: don't care or competitive weapon? |
DAC |
2009 |
DBLP DOI BibTeX RDF |
RDR, physical verification, sign-off, yield, DFM, design-for-manufacturing, design rules, manufacturing variability, DRC |
107 | Lionel Riviere-Cazaux, Kevin Lucas, Jon Fitch |
Integration Of Design For Manufacturability (DFM) Practices In Design Flows. |
ISQED |
2005 |
DBLP DOI BibTeX RDF |
|
93 | Vijay Pitchumani |
A Hitchhiker's Guide to the DFM Universe. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
84 | Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh |
DFM in practice: hit or hype? |
DAC |
2008 |
DBLP DOI BibTeX RDF |
critical area analysis, CMP, yield, DFM, OPC, lithography |
84 | Shishpal Rawat, Raul Camposano, Andrew B. Kahng, Joseph Sawicki, Mike Gianfagna, Naeem Zafar, Atul Sharan |
DFM: where's the proof of value? |
DAC |
2006 |
DBLP DOI BibTeX RDF |
ROI, DFM, design for manufacture, OPC, RET, yield optimization, design for yield |
79 | Artur Balasinski |
Question: DRC or DfM ? Answer: FMEA and ROI. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
70 | Shi-Hao Chen, Ke-Cheng Chu, Jiing-Yuan Lin, Cheng-Hong Tsai |
DFM/DFY practices during physical designs for timing, signal integrity, and power. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
0.13 micron, DFY, dynamic IR drop, process variation, physical designs, DFM, design for manufacturability, signal integrity, timing integrity, yield analysis, design for yield |
70 | Matt Nowak, Riko Radojcic |
Are there economic benefits in DFM? |
DAC |
2005 |
DBLP DOI BibTeX RDF |
fabless, foundries, DFM, design for manufacturability |
65 | Marco Casale-Rossi, Andrzej J. Strojwas, Robert C. Aitken, Antun Domic, Carlo Guardiani, Philippe Magarshack, Douglas Pattullo, Joseph Sawicki |
DFM/DFY: should you trust the surgeon or the family doctor? |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
65 | Xianlong Hong, Yici Cai, Hailong Yao, Duo Li |
DFM-aware Routing for Yield Enhancement. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
65 | Jingtao Yang, Corina Cîrstea, Peter Henderson 0001 |
An Operational Semantics for DFM, a Formal Notation for Modelling Asynchronous Web Services Coordination. |
QSIC |
2005 |
DBLP DOI BibTeX RDF |
|
65 | Michel Houtermans, George E. Apostolakis, Aarnout Brombacher, Dimitrios Karydas |
Programmable Electronic System Design & Verification Utilizing DFM. |
SAFECOMP |
2000 |
DBLP DOI BibTeX RDF |
|
62 | Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi |
Creating an affordable 22nm node using design-lithography co-optimization. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
design technology co-optimization, templates, DFM, regular fabric |
62 | Qi Lin, Mei Ma, Tony Vo, Jenny Fan, Xin Wu, Richard Li, Xiao-Yu Li |
Design-for-Manufacture for Multi Gate Oxide CMOS Process. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
multi gate oxide, FPGA, layout, yield, DFM |
61 | YuHua Cheng |
A glance of technology efforts for design-for-manufacturing in nano-scale CMOS processes. |
Sci. China Ser. F Inf. Sci. |
2008 |
DBLP DOI BibTeX RDF |
nano-CMOS IC design, IC design methodology, CMOS design technology platform, design-for-manufacturing (DFM), design-for-yield |
60 | |
Proceedings of the Sixth Workshop on Data-Flow Execution Models for Extreme Scale Computing, DFM@PACT 2016, Haifa, Israel, September 15, 2016 |
DFM@PACT |
2016 |
DBLP DOI BibTeX RDF |
|
60 | Justin M. Wozniak, Michael Wilde, Ian T. Foster |
Challenges and Opportunities for Dataflow Processing on Exascale Computers. |
DFM@PACT |
2016 |
DBLP DOI BibTeX RDF |
|
60 | Zoran Budimlic, Kathleen Knobe |
CnC: A Dependence Programming Model. |
DFM@PACT |
2016 |
DBLP DOI BibTeX RDF |
|
60 | Kumud Bhandari, Vivek Sarkar |
Tree-based Read-only Data Chunks for NVRAM Programming. |
DFM@PACT |
2016 |
DBLP DOI BibTeX RDF |
|
60 | George Matheou, Costas Kyriacou, Paraskevas Evripidou |
Data-Driven execution of the Tile LU Decomposition. |
DFM@PACT |
2016 |
DBLP DOI BibTeX RDF |
|
57 | Charles H. Ouyang, Hans T. Heineken, Jitendra Khare, Saghir A. Shaikh, Manuel d'Abreu |
Maximizing Wafer Productivity Through Layout Optimization. |
VLSI Design |
2000 |
DBLP DOI BibTeX RDF |
wafer productivity, die cost, interconnect cost, manufacturability, yield, design for manufacturability |
56 | Yin Shen, Yici Cai, Qiang Zhou 0001, Xianlong Hong |
DFM Based Detailed Routing Algorithm for ECP and CMP. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
ECP, CMP, DFM, detailed routing |
56 | Jamil Kawa, Charles C. Chiang |
DFM issues for 65nm and beyond. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
DFY, DFM |
56 | Greg Yeric, Ethan Cohen, John Garcia, Kurt Davis, Esam Salem, Gary Green |
Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
systematic yield loss, test structure, BEOL, DFM, process monitoring, silicon debug, infrastructure IP |
56 | Juan Antonio Carballo, Yervant Zorian, Raul Camposano, Andrzej J. Strojwas, John Kibarian, Dennis Wassung, Alex Alexanian, Steve Wigley, Neil Kelly |
Guest Editors' Introduction: DFM Drives Changes in Design Flow. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
Design for manufacturability, DFM |
56 | Kees Veelenturf |
The Road to Better Reliability and Yield Embedded DfM Tools. |
DATE |
2000 |
DBLP DOI BibTeX RDF |
wire spreading, yield prediction, yield improvement, DfM |
51 | Resve A. Saleh, Pallab K. Chatterjee, Ivan Pesic, Robbert Dobkins, Mike Smayling, Joseph Sawicki |
DFM-EDA's Salvation or its Excuse for Being out of Touch with Engineering? |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
51 | Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp |
DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
51 | Robert C. Aitken |
DFM Metrics for Standard Cells. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
51 | Artur Balasinski |
DfM for SoC, invited. |
IWSOC |
2005 |
DBLP DOI BibTeX RDF |
|
48 | Stephen P. Kornachuk, Michael C. Smayling |
New strategies for gridded physical design for 32nm technologies and beyond. |
ISPD |
2009 |
DBLP DOI BibTeX RDF |
28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm |
48 | C. Tabery, M. Craig, Gert Burbach, B. Wagner, S. McGowan, P. Etter, S. Roling, C. Haidinyak, E. Ehrichs |
Process Window and Device Variations Evaluation using Array-Based Characterization Circuits. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
transistor array, transistor matching, via yield, DOE ROM, novel test circuits, DFM |
47 | Musa Alci |
New dynamic fuzzy structure and dynamic system identification. |
Soft Comput. |
2006 |
DBLP DOI BibTeX RDF |
Dynamic fuzzy module (DFM), Non-linear dynamic system, System identification |
47 | Jay Jahangiri, David Abercrombie |
Value-Added Defect Testing Techniques. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
advanced design-for-manufacturability, DFM test methods, defect testing techniques |
45 | Robert C. Aitken, David Pietromonaco, Brian Cline |
DFM is dead - Long live DFM. |
ICCD |
2014 |
DBLP DOI BibTeX RDF |
|
45 | Wing Chiu Tam, R. D. (Shawn) Blanton |
To DFM or not to DFM? |
DAC |
2011 |
DBLP DOI BibTeX RDF |
|
45 | Tets Maniwa, Pallab K. Chatterjee |
Evening Panel Discussion: DFM PDK's: Where Do They Belong To? Are Process Design Kits (PDKs) the Answer for Modern Design for Manufacturing (DFM) Issues? |
ISQED |
2004 |
DBLP DOI BibTeX RDF |
|
42 | Fadi J. Kurdahi, Nikil D. Dutt, Ahmed M. Eltawil, Sani R. Nassif |
Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips. |
VLSI Design |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Gabriel M. Silberman, Ilan Y. Spillinger |
Using functional fault simulation and the difference fault model to estimate implementation fault coverage. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1990 |
DBLP DOI BibTeX RDF |
|
42 | Yongchan Ban, Savithri Sundareswaran, David Z. Pan |
Total sensitivity based dfm optimization of standard library cells. |
ISPD |
2010 |
DBLP DOI BibTeX RDF |
optimization, VLSI, sensitivity, DFM, lithography |
42 | N. Verghese, P. Hurat |
DFM reality in sub-nanometer IC design. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
EDA solutions, subnanometer IC design, catastrophic failures, systematic manufacturing variations, subnanometer manufacturing variations, DFM, design for manufacturing, parametric failures |
42 | Carlo Guardiani, Massimo Bertoletti, Nicola Dragone, Marco Malcotti, Patrick McNamara |
An effective DFM strategy requires accurate process and IP pre-characterization. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
test chips, yield, DFM, yield models |
37 | Jun-Fu Huang, Victor C. Y. Chang, Sally Liu, Kelvin Y. Y. Doong, Keh-Jeng Chang |
Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
|
37 | David Cross, Eric Nequist, Louis Scheffer |
A DFM aware, space based router. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
|
37 | Anne E. Gattiker |
IC failure mechanisms yesterday, today, tomorrow: implications from test to DFM. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Yongsik Kim, Taesoo Lim, Dongsoo Kim, Cheol Jung, Honggee Jin |
Process-Oriented DFM System for Ubiquitous Devices. |
ICCSA (4) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Charles C. Chiang, Jamil Kawa |
Three DFM Challenges: Random Defects, Thickness Variation, and Printability Variation. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Naveed A. Sherwani, Susan Lippincott Mack, Alex Alexanian, Premal Buch, Carlo Guardiani, Harold Lehon, Peter Rabkin, Atul Sharan |
DFM rules! |
DAC |
2005 |
DBLP DOI BibTeX RDF |
design for manufacturability, yield optimization |
37 | Pradiptya Ghosh, Chung-shin Kang, Michael Sanie, David Pinto 0003 |
New DFM Approach Abstracts AltPSM Lithography Requirements for sub-100nm IC Design Domains. |
ISQED |
2003 |
DBLP DOI BibTeX RDF |
|
34 | Sachin S. Sapatnekar |
Building your yield of dreams. |
IEEE Des. Test Comput. |
2008 |
DBLP DOI BibTeX RDF |
nanoscale, modeling variations, CMOS, yield, design for manufacturability, DFM |
34 | Young-Gu Kim, Soo-Hwan Kim, Hoon Lim, Sanghoon Lee, Keun-Ho Lee, Young-Kwan Park, Moon-Hyun Yoo |
The Statistical Failure Analysis for the Design of Robust SRAM in Nano-Scale Era. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
Statistical failure analysis, DFM, SRAM |
34 | Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja |
Yield-Driven, False-Path-Aware Clock Skew Scheduling. |
IEEE Des. Test Comput. |
2005 |
DBLP DOI BibTeX RDF |
performance-related circuit yield loss, circuit-level parameters, DFM, clock skew scheduling |
33 | Kevin Lucas, Chi-Min Yuan, Robert Boone, Karl Wimmer, Kirk Strozewski, Olivier Toublan |
Logic Design for Printability Using OPC Methods. |
IEEE Des. Test Comput. |
2006 |
DBLP DOI BibTeX RDF |
optical proximity correction (OPC), reticle enhancement technology (RET), design for manufacturability (DFM) |
33 | Daniel N. Maynard |
Productivity Optimization Techniques for the Proactive Semiconductor Manufacturer (invited). |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
Modeling, Productivity, Design for Manufacturing (DFM), Characterization, Checking |
28 | David Z. Pan |
Synergistic modeling and optimization for nanometer IC design/manufacturing integration. |
SBCCI |
2008 |
DBLP DOI BibTeX RDF |
design for manufacturing |
28 | Anne Gattiker |
Using test data to improve IC quality and yield. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Nagesh Nagapalli |
DFT and Test: Ensuring Product Quality. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Vijay Pitchumani |
Embedded tutorial I: design for manufacturability. |
ASP-DAC |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla, David Berner |
Extreme Formal Modeling (XFM) for Hardware Models. |
MTV |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Sani R. Nassif, Duane S. Boning, Nagib Hakim |
The care and feeding of your statistical static timer. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Keh-Jeng Chang |
Accurate On-Chip Variation Modeling to Achieve Design for Manufacturability. |
IWSOC |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Ge Cong, Bahram Parvin |
A New Regularized Approach for Contour Morphing. |
CVPR |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Fabian Walke, Florian Ulmke, Till J. Winkler |
Making Digital File Management Successful: A Grounded Model of DFM Adoption in the Public Sector. |
HICSS |
2024 |
DBLP BibTeX RDF |
|
22 | Vipul Garg, Gopika Vinod, Mahendra Prasad, T. V. Santhosh, N. B. Shrestha, J. Chattopadhyay |
Hybrid DFM - Petri net approach for dynamic reliability analysis of smart transmitters. |
Qual. Reliab. Eng. Int. |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Shunxin Wang, Christoph Brune, Raymond N. J. Veldhuis, Nicola Strisciuglio |
DFM-X: Augmentation by Leveraging Prior Knowledge of Shortcut Learning. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Chunmian Lin, Daxin Tian, Xuting Duan, Jianshan Zhou, Dezong Zhao, Dongpu Cao |
3D-DFM: Anchor-Free Multimodal 3-D Object Detection With Dynamic Fusion Module for Autonomous Driving. |
IEEE Trans. Neural Networks Learn. Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Da-Wei Huang, Ying-Jie Jiang, Shao-Yun Fang |
Spacing Cost-aware Optimal and Efficient Mixed-Cell-Height Detailed Placement for DFM Considerations. |
ICCAD |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Shunxin Wang, Christoph Brune, Raymond N. J. Veldhuis, Nicola Strisciuglio |
DFM-X: Augmentation by Leveraging Prior Knowledge of Shortcut Learning. |
ICCV (Workshops) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Fenfang Zeng, Wei Zheng, Huachang Wang |
Research and application of feature-based product process review software DFM. |
EITCE |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Guangyou Zhou, Zhiwen Xie, Zongfu Yu, Jimmy Xiangji Huang |
DFM: A parameter-shared deep fused model for knowledge base question answering. |
Inf. Sci. |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Ufuk Efe, Kutalmis Gokalp Ince, A. Aydin Alatan |
DFM: A Performance Baseline for Deep Feature Matching. |
CoRR |
2021 |
DBLP BibTeX RDF |
|
22 | Ufuk Efe, Kutalmis Gokalp Ince, A. Aydin Alatan |
DFM: A Performance Baseline for Deep Feature Matching. |
CVPR Workshops |
2021 |
DBLP BibTeX RDF |
|
22 | Mayler G. A. Martins, Samuel N. Pagliarini, Mehmet Meric Isgenc, Lawrence T. Pileggi |
From Virtual Characterization to Test-Chips: DFM Analysis Through Pattern Enumeration. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Xin Fang, Rui Min, Zongjie Cao, Yiming Pi |
High-order RM and DFM correction method for long-time coherent integration of highly maneuvering target. |
Signal Process. |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Zeyu Sun, Zhiguo Lv, Yue Hou, Chen Xu, Ben Yan |
MR-DFM: A multi-path routing algorithm based on data fusion mechanism in sensor networks. |
Comput. Sci. Inf. Syst. |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Nikolay Ryzhenko, Steven M. Burns, Anton Sorokin, Mikhail Talalay |
Pin Access-Driven Design Rule Clean and DFM Optimized Routing of Standard Cells under Boolean Constraints. |
ISPD |
2019 |
DBLP DOI BibTeX RDF |
|
22 | Daifeng Guo |
Algorithms for DFM in electronic design automation |
|
2019 |
RDF |
|
22 | Ming Chen 0003, Fei Dai 0008, Huibin Wang, Lei Lei |
DFM: A Distributed Flocking Model for UAV Swarm Networks. |
IEEE Access |
2018 |
DBLP DOI BibTeX RDF |
|
22 | Vikas Tripathi, Valerio Perez, Yongfu Li 0003, Zhao Chuan Lee, I-Lun Tseng, Jonathan Ong |
Context-Aware DFM Rule Analysis and Scoring Using Machine Learning. |
CoRR |
2018 |
DBLP BibTeX RDF |
|
22 | Vikas Tripathi, Yongfu Li 0003, Zhao Chuan Lee, I-Lun Tseng, Jason Khaw, Jonathan Ong |
In Design DFM Rule Scoring and Fixing Method using ICV. |
CoRR |
2018 |
DBLP BibTeX RDF |
|
22 | Sebastian B. M. Bosma, Hadi Hajibeygi, Matei Tene, Hamdi A. Tchelepi |
Multiscale finite volume method for discrete fracture modeling on unstructured grids (MS-DFM). |
J. Comput. Phys. |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Ronald Shawn Blanton, Fa Wang, Cheng Xue, Pranab K. Nag, Yang Xue, Xin Li 0001 |
DFM Evaluation Using IC Diagnosis Data. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Chun-Chen Liu, Oscar Lau, Jason Y. Du |
Complete DFM Model for High-Performance Computing SoCs with Guard Ring and Dummy Fill Effect. |
CoRR |
2017 |
DBLP BibTeX RDF |
|
22 | Samuel Pagliarini, Mayler G. A. Martins, Lawrence T. Pileggi |
Virtual characterization for exhaustive DFM evaluation of logic cell libraries. |
ISQED |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Arani Sinha, Sujay Pandey, Ayush Singhal, Alodeep Sanyal, Alan Schmaltz |
DFM-aware fault model and ATPG for intra-cell and inter-cell defects. |
ITC |
2017 |
DBLP DOI BibTeX RDF |
|
22 | Nokhwezi Mjanyelwa, Zaid A. Bello, Willnerie Greaves, Leon D. van Rensburg |
Precision and accuracy of DFM soil water capacitance probes to measure temperature. |
Comput. Electron. Agric. |
2016 |
DBLP DOI BibTeX RDF |
|
22 | Li-Chung Hsu, Yasuhiro Take, Atsutake Kosuge, So Hasegawa, Junichiro Kadamoto, Tadahiro Kuroda |
Design and analysis for ThruChip design for manufacturing (DFM). |
ASP-DAC |
2015 |
DBLP DOI BibTeX RDF |
|
22 | Haitham Eissa, Rami Fathy Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Mohamed Dessouky, David Nairn, Mohab H. Anis |
Parametric DFM Solution for Analog Circuits: Electrical-Driven Hotspot Detection, Analysis, and Correction Flow. |
IEEE Trans. Very Large Scale Integr. Syst. |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Ronald D. Blanton, Fa Wang, Cheng Xue, Pranab K. Nag, Yang Xue, Xin Li 0001 |
DREAMS: DFM rule EvAluation using manufactured silicon. |
ICCAD |
2013 |
DBLP DOI BibTeX RDF |
|
22 | Jake Buurma, Robert Sayah, Fred Valente, Cathy Rodgers |
OpenDFM Bridging the Gap Between DRC and DFM. |
IEEE Des. Test |
2012 |
DBLP DOI BibTeX RDF |
|
22 | Srikanth Venkataraman, Nagesh Tamarapalli |
Tutorial T3: DFM, DFT, Silicon Debug and Diagnosis - The Loop to Ensure Product Yield. |
VLSI Design |
2012 |
DBLP DOI BibTeX RDF |
|
22 | Olivier Kerbrat, Pascal Mognol, Jean-Yves Hascoët |
A new DFM approach to combine machining and additive manufacturing. |
Comput. Ind. |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Olivier Kerbrat, Pascal Mognol, Jean-Yves Hascoët |
A new DFM approach to combine machining and additive manufacturing |
CoRR |
2011 |
DBLP BibTeX RDF |
|
22 | Rami Fathy Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, David Nairn, Mohab H. Anis |
An electrical-aware parametric DFM solution for analog circuits. |
IDT |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Rami F. Salem, Ahmed Arafa, Sherif Hany, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, David Nairn, Mohab H. Anis |
A parametric DFM solution for analog circuits: Electrical driven hot spot detection, analysis and correction flow. |
SoCC |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Savithri Sundareswaran, Robert L. Maziasz, Vladimir Rozenfeld, Mikhail Sotnikov, Mukhanov Konstantin |
A sensitivity-aware methodology to improve cell layouts for DFM guidelines. |
ISQED |
2011 |
DBLP DOI BibTeX RDF |
|
22 | S. M. Stalin, Amit Brahme, Ramakrishnan Venkatraman, Ajoy Mandal |
DFM: Impact analysis in a high performance design. |
ISQED |
2011 |
DBLP DOI BibTeX RDF |
|
22 | Yin Shen, Qiang Zhou 0001, Yici Cai, Xianlong Hong |
ECP- and CMP-Aware Detailed Routing Algorithm for DFM. |
IEEE Trans. Very Large Scale Integr. Syst. |
2010 |
DBLP DOI BibTeX RDF |
|
22 | Jui-Hsiang Liu, Ming-Feng Tsai, Lumdo Chen, Charlie Chung-Ping Chen |
Accurate and Analytical Statistical Spatial Correlation Modeling Based on Singular Value Decomposition for VLSI DFM Applications. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2010 |
DBLP DOI BibTeX RDF |
|
22 | Rami F. Salem, Abdelrahman ElMously, Haitham Eissa, Mohamed Dessouky, Mohab H. Anis |
A DFM tool for analyzing lithography and stress effects on standard cells and critical path performance in 45nm digital designs. |
IDT |
2010 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 220 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ >>] |
|