|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2576 occurrences of 1555 keywords
|
|
|
Results
Found 5031 publication records. Showing 5031 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
76 | Kiyoshi Matsumiya, Yasuyuki Momoi, Etsuko Kobayashi, Nobuhiko Sugano, Kazuo Yonenobu, Hiroshi Inada, Takayuki Tsuji, Ichiro Sakuma |
Analysis of Forces during Robotic Needle Insertion to Human Vertebra. |
MICCAI (1) |
2003 |
DBLP DOI BibTeX RDF |
percutaneous vertebroplasty, forces and torques, CT-value, robot, needle insertion |
70 | Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han |
Novel full-chip gridless routing considering double-via insertion. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
redundant via insertion, routing, manufacturability |
63 | Jian Zhang 0074, Samrat Bhattacharyya, Nabil Simaan |
Model and parameter identification of friction during robotic insertion of cochlear-implant electrode arrays. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
59 | Artiom Matveevici, Yurii Rogozhin, Sergey Verlan |
Insertion-Deletion Systems with One-Sided Contexts. |
MCU |
2007 |
DBLP DOI BibTeX RDF |
insertion-deletion systems, computational non-completeness, universality |
58 | Phillip J. Barry, Ronald N. Goldman |
Chapter 4: Knot Insertion Algorithms. |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces |
1992 |
DBLP DOI BibTeX RDF |
|
58 | Phillip J. Barry, Ronald N. Goldman |
Chapter 3: Factored Knot Insertion. |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces |
1992 |
DBLP DOI BibTeX RDF |
|
58 | Wen-Chin Chen, Jeffrey Scott Vitter |
Analysis of New Variants of Coalesced Hashing. |
ACM Trans. Database Syst. |
1984 |
DBLP DOI BibTeX RDF |
|
53 | Ying-Yu Chen, Chen Dong 0003, Deming Chen |
Clock tree synthesis under aggressive buffer insertion. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
slew, buffer insertion, buffer sizing, clock tree, maze routing |
53 | Alexander Krassovitskiy, Yurii Rogozhin, Sergey Verlan |
Further Results on Insertion-Deletion Systems with One-Sided Contexts. |
LATA |
2008 |
DBLP DOI BibTeX RDF |
Insertion-deletion systems, computational non-completeness, universality |
53 | Ali Jahanian 0001, Morteza Saheb Zamani |
Multi-Level Buffer Block Planning and Buffer Insertion for Large Design Circuits. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
Buffer planning, incremental placement, buffer insertion |
53 | Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi |
Path based buffer insertion. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
layout, physical design, global routing, buffer insertion, power minimization, interconnect synthesis |
53 | Tilmann F. W. Bruckhaus |
TIM: a tool insertion method. |
CASCON |
1994 |
DBLP BibTeX RDF |
CASE adoption, TIM, cost control, process management tools, requirements planning process, tool insertion, process modeling, process improvement, process metrics, process measurements, risk reduction, process engineering |
51 | Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han |
Full-Chip Routing Considering Double-Via Insertion. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
47 | Christian Artigues, Cyril Briand |
The resource-constrained activity insertion problem with minimum and maximum time lags. |
J. Sched. |
2009 |
DBLP DOI BibTeX RDF |
Minimum and maximum time lags, Activity insertion problem, Complexity, Resource-constrained project scheduling |
47 | Yifang Liu, Jiang Hu, Weiping Shi |
Multi-scenario buffer insertion in multi-core processor designs. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
multi-core design, buffer insertion |
47 | Huiying Liu, Shuqiang Jiang, Qingming Huang, Changsheng Xu |
A generic virtual content insertion system based on visual attention analysis. |
ACM Multimedia |
2008 |
DBLP DOI BibTeX RDF |
visual attention, virtual content insertion |
47 | Nikolai Ryzhenko, Oleg Venger |
A practical repeater insertion flow. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
physical design, buffer insertion, fanout optimization, topology generation |
47 | Xinjie Wei, Yici Cai, Meng Zhao, Xianlong Hong |
Legitimate Skew Clock Routing with Buffer Insertion. |
J. VLSI Signal Process. |
2006 |
DBLP DOI BibTeX RDF |
legitimate skew, buffer insertion, clock routing |
47 | Jinjun Xiong, Lei He 0001 |
Fast buffer insertion considering process variations. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
pruning rule, dynamic programming, process variation, transitive closure, buffer insertion |
47 | Andrew Lim 0001, Wenbin Zhu |
A Fast and Effective Insertion Algorithm for Multi-depot Vehicle Routing Problem with Fixed Distribution of Vehicles and a New Simulated Annealing Approach. |
IEA/AIE |
2006 |
DBLP DOI BibTeX RDF |
MDVRP, MDVRPFD, insertion algorithm, sub-route optimization, meta-heuristic |
47 | Baris Taskin, Ivan S. Kourtev |
Delay insertion method in clock skew scheduling. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
delay insertion, re-convergent paths, optimization, linear programming, clock skew |
47 | Akihiro Takahara, Takashi Yokomori |
On the computational power of insertion-deletion systems. |
Nat. Comput. |
2003 |
DBLP DOI BibTeX RDF |
insertion-deletion systems, DNA computing, computational powers |
47 | Xiang-Yang Li 0001, Yu Wang 0003 |
How Good Is Sink Insertion? |
COCOON |
2001 |
DBLP DOI BibTeX RDF |
sink insertion, algorithms, computational geometry, Delaunay triangulations, Mesh generation |
47 | Gérard Cécé, Alain Finkel, S. Purushothaman Iyer |
Duplication, Insertion and Lossiness Errors in Unreliable Communication Channels. |
SIGSOFT FSE |
1994 |
DBLP DOI BibTeX RDF |
insertion and lossiness errors, model-checking against CTL, verification problems, finite state machines, decidability, duplication, communication channels |
47 | Michael J. Banks, Elaine Cohen, Timothy I. Mueller |
Chapter 7: An Envelope Approach to a Sketching Editor for Hierarchical Free-form Curve Design and Modification. |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Phillip J. Barry, Ronald N. Goldman |
Chapter 2: Algorithms for Progressive Curves: Extending B-Spline and Blossoming Techniques to the Monomial, Power, and Newton Dual Bases. |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Tom Lyche, Knut Mørken, Kyrre Strøm |
Chapter 5: Conversion Between B-Spline Bases Using the Generalized Oslo Algorithm. |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Tom Lyche, Knut Mørken |
Chapter 6: How Much Can the Size of the B-Spline Coefficients Be Reduced by Inserting One Knot? |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces |
1992 |
DBLP DOI BibTeX RDF |
|
47 | Phillip J. Barry |
Chapter 1: An Introduction to Blossoming. |
Knot Insertion and Deletion Algorithms for B-Spline Curves and Surfaces |
1992 |
DBLP DOI BibTeX RDF |
|
46 | Lonnie J. Love, John F. Jansen, Peter D. Lloyd |
Force-based needle insertion for medical applications. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
46 | Yuantao Peng, Xun Liu |
An Efficient Low-Power Repeater-Insertion Scheme. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Zhuo Li 0001, Weiping Shi |
An O(bn2) time algorithm for optimal buffer insertion with b buffer types. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Zhe-Wei Jiang, Yao-Wen Chang |
An optimal simultaneous diode/jumper insertion algorithm for antenna fixing. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Shinya Onogi, K. Morimoto, Ichiro Sakuma, Yoshikazu Nakajima, Tsuyoshi Koyama, Nobuhiko Sugano, Yuichi Tamura, S. Yonenobu, Yasuyuki Momoi |
Development of the Needle Insertion Robot for Percutaneous Vertebroplasty. |
MICCAI (2) |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Srividya Srinivasaraghavan, Wayne P. Burleson |
Interconnect Effort - A Unification of Repeater Insertion and Logical Effort. |
ISVLSI |
2003 |
DBLP DOI BibTeX RDF |
|
44 | Nur A. Touba, Edward J. McCluskey |
Test point insertion based on path tracing. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
probabilistic techniques, primary inputs, insertion methods, VLSI, VLSI, fault diagnosis, logic testing, logic testing, probability, built-in self test, timing, integrated circuit testing, BIST, automatic testing, fault coverage, test point insertion, path tracing, circuit-under-test |
44 | Joan Carletta, Christos A. Papachristou |
Testability analysis and insertion for RTL circuits based on pseudorandom BIST. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
pseudorandom BIST, indirect feedback, preprocessing transformation, word-level correlation, modeling, logic testing, probability, built-in self test, built-in self-test, integrated circuit testing, Markov processes, automatic testing, Markov model, insertion, testability analysis, test point insertion, iterative technique, RTL circuits, register transfer level circuits |
42 | Yuejian Xie, Gabriel H. Loh |
PIPP: promotion/insertion pseudo-partitioning of multi-core shared caches. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
cache, multi-core, sharing, contention, insertion, promotion |
42 | Shiyan Hu, Zhuo Li 0001, Charles J. Alpert |
A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
dynamic programming, NP-complete, buffer insertion, fully polynomial time approximation scheme, cost minimization |
42 | Liang Deng, Martin D. F. Wong, Kai-Yuan Chao, Hua Xiang 0001 |
Coupling-aware Dummy Metal Insertion for Lithography. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
coupling-aware dummy metal insertion, integrated circuits manufacturing technology, resolution enhancement techniques, off-axis illumination, forbidden pitches, printability improvement, invisible dummy metal segments, lithography cost minimization, optimal algorithm, chemical mechanical polish |
42 | Yanming Jia, Yici Cai, Xianlong Hong |
Dummy fill aware buffer insertion during routing. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
routing, VLSI, DFM, buffer insertion, dummy fill |
42 | Hamid Reza Kheirabadi, Morteza Saheb Zamani |
An efficient net ordering algorithm for buffer insertion. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
buffer usage, net ordering, buffer insertion |
42 | Bor-Yiing Su, Yao-Wen Chang, Jiang Hu |
An optimal jumper insertion algorithm for antenna avoidance/fixing on general routing trees with obstacles. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
jumper insertion, antenna effect |
42 | Mandar Waghmode, Zhuo Li 0001, Weiping Shi |
Buffer insertion in large circuits with constructive solution search techniques. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
physical design, buffer insertion, cost optimization, interconnect synthesis |
42 | Yuantao Peng, Xun Liu |
Low-power repeater insertion with both delay and slew rate constraints. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
low power, interconnect, repeater insertion, slew rate |
42 | Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif |
An efficient surface-based low-power buffer insertion algorithm. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
low-power design, buffer insertion, physical synthesis |
42 | Yu Ching Chang, King Ho Tam, Lei He 0001 |
Power-optimal repeater insertion considering Vdd and Vth as design freedoms. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
low power, buffer insertion |
42 | Bor-Yiing Su, Yao-Wen Chang |
An exact jumper insertion algorithm for antenna effect avoidance/fixing. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
jumper insertion, antenna effect |
42 | Yuantao Peng, Xun Liu |
Freeze: engineering a fast repeater insertion solver for power minimization using the ellipsoid method. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
low power, interconnect, repeater insertion |
42 | Charles J. Alpert, Milos Hrkic, Stephen T. Quay |
A fast algorithm for identifying good buffer insertion candidate locations. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
planning, global routing, buffer insertion, physical synthesis |
42 | Xun Liu, Yuantao Peng, Marios C. Papaefthymiou |
Practical repeater insertion for low power: what repeater library do we need? |
DAC |
2004 |
DBLP DOI BibTeX RDF |
low power, interconnect, repeater insertion |
42 | Dinesh Pamunuwa, Hannu Tenhunen |
On Dynamic Delay and Repeater Insertion in Distributed Capacitively Coupled Interconnects. |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
Cross-talk, Delay minimisation, Static timing, Repeater insertion, Deep sub-micron |
42 | Tianxiong Xue, Ernest S. Kuh |
Post routing performance optimization via multi-link insertion and non-uniform wiresizing. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
post routing performance optimization, link insertion and wiresizing, delay skew, routing area, delay |
40 | Zhe-Wei Jiang, Meng-Kai Hsu, Yao-Wen Chang, Kai-Yuan Chao |
Spare-cell-aware multilevel analytical placement. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
spare cells, placement, physical design |
40 | Yo Kobayashi, Akinori Onishi, Hiroki Watanabe, Takeharu Hoshi, Kazuya Kawamura, Masakatsu G. Fujie |
Developing a planning method for straight needle insertion using probability-based condition where a puncture occurs. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Yo Kobayashi, Makiko Suzuki, Atsushi Kato, Kozo Konishi, Makoto Hashizume, Masakatsu G. Fujie |
A robotic palpation-based needle insertion method for diagnostic biopsy and treatment of breast cancer. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Riku Saikkonen, Eljas Soisalon-Soininen |
Bulk-Insertion Sort: Towards Composite Measures of Presortedness. |
SEA |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Kuang-Yao Lee, Shing-Tung Lin, Ting-Chi Wang |
Redundant via insertion with wire bending. |
ISPD |
2009 |
DBLP DOI BibTeX RDF |
redundant via, wire bending, integer linear program |
40 | Harmanpreet Bassan, T. Hayes, Rajnikant V. Patel, Mehrdad Moallem |
A Novel Manipulator for 3D Ultrasound Guided Percutaneous Needle Insertion. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Jagadeesan Jayender, Mahdi Azizian, Rajni V. Patel |
Autonomous robot-assisted active catheter insertion using image guidance. |
IROS |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Moinuddin K. Qureshi, Aamer Jaleel, Yale N. Patt, Simon C. Steely Jr., Joel S. Emer |
Adaptive insertion policies for high performance caching. |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
set dueling, set sampling, thrashing, replacement |
40 | Michael A. Bender, Martin Farach-Colton, Miguel A. Mosteiro |
Insertion Sort is O(n log n). |
Theory Comput. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Kuang-Yao Lee, Ting-Chi Wang, Kai-Yuan Chao |
Post-routing redundant via insertion and line end extension with via density consideration. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Christophe Doignon, Florent Nageotte, Michel de Mathelin |
The Role of Insertion Points in the Detection and Positioning of Instruments in Laparoscopy for Robotic Tasks. |
MICCAI (1) |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Anand Rajaram, David Z. Pan |
Fast Incremental Link Insertion in Clock Networks for Skew Variability Reduction. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Zhuo Li 0001, Weiping Shi |
An O(bn2) Time Algorithm for Optimal Buffer Insertion with b Buffer Types. |
DATE |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong |
Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
yield, buffering, design for manufacturing, wire sizing, chemical mechanical polishing (CMP) |
40 | Li-Da Huang, Xiaoping Tang, Hua Xiang 0001, Martin D. F. Wong, I-Min Liu |
A polynomial time-optimal diode insertion/routing algorithm for fixing antenna problem [IC layout]. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Masami Ito, Ryo Sugiura |
n-Insertion on Languages. |
Aspects of Molecular Computing |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Li-Da Huang, Xiaoping Tang, Hua Xiang 0001, D. F. Wong 0001, I-Min Liu |
A Polynomial Time Optimal Diode Insertion/Routing Algorithm for Fixing Antenna Problem. |
DATE |
2002 |
DBLP DOI BibTeX RDF |
|
38 | Joaquim Gabarró, Xavier Messeguer |
A Unified Approach to Concurrent and Parallel Algorithms on Balanced Data Structures (Invited Paper). |
SCCC |
1997 |
DBLP DOI BibTeX RDF |
unified approach, balanced data structures, local rules, insertion algorithms, parallel insertion algorithms, percolation phase, rebalancing phase, parallel algorithms, parallel algorithms, dictionaries, sequential algorithm, AVL trees, concurrent algorithms |
36 | Ali Jahanian 0001, Morteza Saheb Zamani |
Improved timing closure by early buffer planning in floor-placement design flow. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
buffer planning, design convergence, buffer insertion |
36 | Tilmann F. W. Bruckhaus |
Analyzing CASE impact. |
CASCON |
1995 |
DBLP BibTeX RDF |
CASE adoption, CASE impact, TIM, TIM/Impact, quantitative process modeling, quantitative tool insertion impact analysis, software quality and productivity, case study |
36 | Aria Shahingohar, Roy Eagleson |
A framework for GPU accelerated needle insertion simulation using meshfree methods. |
SIGGRAPH Posters |
2010 |
DBLP DOI BibTeX RDF |
meshfree methods, needle insertion simulation, deformable object |
36 | Akiyo Kano, Janet C. Read |
Text input error categorisation: solving character level insertion ambiguities using Zero Time analysis. |
BCS HCI |
2009 |
DBLP BibTeX RDF |
key log, solving ambiguities, ambiguities, timestamp, insertion, typing errors |
36 | Qing Dong 0002, Bo Yang 0004, Jing Li 0072, Shigetoshi Nakatake |
Incremental buffer insertion and module resizing algorithm using geometric programming. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
module resizing, floorplan, buffer insertion, geometric programming |
36 | Kuang-Yao Lee, Cheng-Kok Koh, Ting-Chi Wang, Kai-Yuan Chao |
Optimal post-routing redundant via insertion. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
redundant via insertion, via density, integer linear program |
36 | Marc Moreau, Wendy Osborn |
Revisiting 2DR-tree insertion. |
C3S2E |
2008 |
DBLP DOI BibTeX RDF |
performance, insertion, spatial access methods |
36 | Hiroyuki Kataoka, Shigeho Noda, Hideo Yokota, Shu Takagi, Ryutaro Himeno, Shigenobu Okazawa |
Simulations of Needle Insertion by Using a Eulerian Hydrocode FEM and the Experimental Validations. |
MICCAI (2) |
2008 |
DBLP DOI BibTeX RDF |
Eulerian hydrocode, friction, FEM, needle insertion, large deformation |
36 | Lun-Chun Wei, Hung-Ming Chen, Li-Da Huang, Sarah Songjie Xu |
Efficient and optimal post-layout double-cut via insertion by network relaxation and min-cost maximum flow. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
redundant via insertion, network flow, relaxation |
36 | Zhanyuan Jiang, Weiping Shi |
Circuit-wise buffer insertion and gate sizing algorithm with scalability. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
buffer insertion, gate sizing, interconnect synthesis |
36 | Salim Chowdhury, John Lillis |
Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion |
36 | Ja Chun Ku, Yehea I. Ismail |
Thermal-aware methodology for repeater insertion in low-power VLSI circuits. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
low-power design, repeater insertion, temperature-aware design |
36 | Jia Wang 0003, Hai Zhou 0001 |
Optimal jumper insertion for antenna avoidance under ratio upper-bound. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
jumper insertion, antenna effect |
36 | Chong Zhao, Yi Zhao, Sujit Dey |
Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
circuit hardening, nanometer circuits, robustness calibration, robustness insertion |
36 | Kenneth Fazel, Lun Li, Mitchell A. Thornton, Robert B. Reese, Cherrice Traver |
Performance enhancement in phased logic circuits using automatic slack-matching buffer insertion. |
ACM Great Lakes Symposium on VLSI |
2004 |
DBLP DOI BibTeX RDF |
phased logic, slack matching buffer insertion, asynchronous |
36 | Simon P. DiMaio, Septimiu E. Salcudean |
Simulated Interactive Needle Insertion. |
Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems |
2002 |
DBLP DOI BibTeX RDF |
Needle insertion model, Needle Force, Percutaneous therapy, Haptics, Finite Element Method, Model simulation |
36 | Phillip J. Barry, Ronald N. Goldman, Charles A. Micchelli |
Knot insertion algorithms for piecewise polynomial spaces determined by connection matrices. |
Adv. Comput. Math. |
1993 |
DBLP DOI BibTeX RDF |
dual functional, connection matrix, polar form, B-spline, differentiation, geometric continuity, knot insertion |
36 | Jyrki Katajainen, Christos Levcopoulos, Ola Petersson |
Local Insertion Sort Revisited. |
Optimal Algorithms |
1989 |
DBLP DOI BibTeX RDF |
presortedness, local insertion sort, finger search trees, measures, sorting algorithm, geometric interpretation |
35 | Puneet Gupta 0001, Andrew B. Kahng, Chul-Hong Park |
Detailed Placement for Enhanced Control of Resist and Etch CDs. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Joseph M. Romano, Robert J. Webster III, Allison M. Okamura |
Teleoperation of Steerable Needles. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Andrew B. Kahng, Puneet Sharma, Alexander Zelikovsky |
Fill for shallow trench isolation CMP. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
|
34 | Yu-Min Kuo, Ya-Ting Chang, Shih-Chieh Chang, Malgorzata Marek-Sadowska |
Spare Cells With Constant Insertion for Engineering Change. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2009 |
DBLP DOI BibTeX RDF |
|
34 | Cheok-Kei Lei, Po-Yi Chiang, Yu-Min Lee |
Post-routing redundant via insertion with wire spreading capability. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
34 | Kaoru Onodera |
New Morphic Characterizations of Languages in Chomsky Hierarchy Using Insertion and Locality. |
LATA |
2009 |
DBLP DOI BibTeX RDF |
|
34 | Jagadeesan Jayender, Mahdi Azizian, Rajnikant V. Patel |
Autonomous Image-Guided Robot-Assisted Active Catheter Insertion. |
IEEE Trans. Robotics |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Ehsan Dehghan, Septimiu E. Salcudean |
Needle Insertion Study Using Ultrasound-Based 2D Motion Tracking. |
MICCAI (2) |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Chin Ngai Sze, Charles J. Alpert, Jiang Hu, Weiping Shi |
Path-Based Buffer Insertion. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Ehsan Dehghan, Septimiu E. Salcudean |
Needle Insertion Point and Orientation Optimization in Non-linear Tissue with Application to Brachytherapy. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Yu-Min Kuo, Ya-Ting Chang, Shih-Chieh Chang, Malgorzata Marek-Sadowska |
Engineering change using spare cells with constant insertion. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 5031 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|