|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 710 occurrences of 439 keywords
|
|
|
Results
Found 2479 publication records. Showing 2479 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
104 | Wei Lu, Xiu-Tao Yang, Tao Lv 0001, Xiaowei Li 0001 |
An Efficient Evaluation and Vector Generation Method for Observability-Enhanced Statement Coverage. |
J. Comput. Sci. Technol. |
2005 |
DBLP DOI BibTeX RDF |
simulation, observability, design verification, coverage metrics, vector generation |
94 | Jason Cong, Bin Liu 0006, Zhiru Zhang |
Behavior-level observability don't-cares and application to low-power behavioral synthesis. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low power, observability, behavioral synthesis |
81 | Kwang Wee Lee, W. Sardha Wijesoma, Javier Ibañez-Guzmán |
On the Observability and Observability Analysis of SLAM. |
IROS |
2006 |
DBLP DOI BibTeX RDF |
|
78 | Pieter Collins, Jan H. van Schuppen |
Observability of Piecewise-Affine Hybrid Systems. |
HSCC |
2004 |
DBLP DOI BibTeX RDF |
|
68 | Mohammad Abdullah Al Faruque, Thomas Ebi, Jörg Henkel |
ROAdNoC: runtime observability for an adaptive network on chip architecture. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
68 | Tai-Ying Jiang, Chien-Nan Jimmy Liu, Jing-Yang Jou |
Observability Analysis on HDL Descriptions for Effective Functional Validation. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
66 | Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001, Ling-Yi Liu |
Observability Statement Coverage Based on Dynamic Factored Use-Definition Chains for Functional Verification. |
J. Electron. Test. |
2006 |
DBLP DOI BibTeX RDF |
dynamic factored use-definition chains, observability, data-flow analysis, design verification, coverage metrics |
66 | Jessica Chen, Hasan Ural |
Detecting Observability Problems in Distributed Testing. |
TestCom |
2006 |
DBLP DOI BibTeX RDF |
distributed test architecture, testing, controllability, Finite state machine, observability |
59 | Arthur J. Krener |
Observability of vortex flows. |
CDC |
2008 |
DBLP DOI BibTeX RDF |
|
59 | Xiao-Yong Wei, Chong-Wah Ngo |
Fusing semantics, observability, reliability and diversity of concept detectors for video search. |
ACM Multimedia |
2008 |
DBLP DOI BibTeX RDF |
concept-based video search, detector selection and fusion |
56 | Julien Laumonier, Brahim Chaib-draa |
Partial Local FriendQ Multiagent Learning: Application to Team Automobile Coordination Problem. |
Canadian AI |
2006 |
DBLP DOI BibTeX RDF |
|
52 | Ruofan Xu, Michael S. Hsiao |
Embedded core testing using genetic algorithms. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
gate level implementation, user defined logic, random inputs, high level benchmarks, wrapper size, genetic algorithms, genetic algorithms, fault diagnosis, logic testing, controllability, controllability, high level synthesis, automatic test pattern generation, observability, observability, application specific integrated circuits, fault coverage, SOC, test application time, test patterns, embedded core testing, internal state |
52 | Yeong-Ruey Shieh, Cheng-Wen Wu |
DC control and observation structures for analog circuits. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
level-sensitive scan-design, test points, DC voltage levels, diagnosis capability, calibration process, read-out voltage levels, VLSI, VLSI, fault diagnosis, controllability, controllability, integrated circuit testing, calibration, observability, observability, analog circuits, mixed signal circuits, mixed analogue-digital integrated circuits |
50 | Linthotage Dushantha Lochana Perera, Eric Nettleton |
On the nonlinear observability and the information form of the SLAM problem. |
IROS |
2009 |
DBLP DOI BibTeX RDF |
|
50 | Luis I. Aguirre-Salas, Alejandra Santoyo-Sanchez |
Observability analysis of interpreted Petri nets under partial state observations using estimations reachability graph. |
ETFA |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Mohammad Rezaeian |
Sensor Scheduling for Optimal Observability Using Estimation Entropy. |
PerCom Workshops |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Chris J. Dafis, Chika O. Nwankpa |
A nonlinear observability formulation for power systems incorporating generator dynamics. |
ISCAS (5) |
2002 |
DBLP DOI BibTeX RDF |
|
48 | Antonia M. Sánchez, Francisco J. Montoya |
Safe Supervisory Control Under Observability Failure. |
Discret. Event Dyn. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Observability, Robust control, Supervisory control, Fault-tolerant control |
48 | Jessica Chen, Robert M. Hierons, Hasan Ural |
Resolving Observability Problems in Distributed Test Architectures. |
FORTE |
2005 |
DBLP DOI BibTeX RDF |
testing, controllability, Finite state machine, observability, test architecture |
48 | Marc Joye, Jean-Jacques Quisquater, Sung-Ming Yen, Moti Yung |
Observability Analysis - Detecting When Improved Cryptosystems Fail. |
CT-RSA |
2002 |
DBLP DOI BibTeX RDF |
robustness, cryptanalysis, implementations, side-channel attacks, observability, Security analysis, fault analysis, cryptosystems |
45 | Jessica Andrea Carballido, Ignacio Ponzoni, Nélida Beatriz Brignole |
A Novel Application of Evolutionary Computing in Process Systems Engineering. |
EvoCOP |
2005 |
DBLP DOI BibTeX RDF |
Process-Plant Instrumentation Design, Observability Analysis, Multi-Objective Genetic Algorithm, Combinatorial Optimization Problem, PSE |
43 | Yin-He Su, Ching-Hwa Cheng, Shih-Chieh Chang |
Novel techniques for improving testability analysis. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
closed-form formulation, implication reasoning, TAIR, tree-structured circuit, logic testing, logic testing, controllability, controllability, built-in self test, automatic test pattern generation, BIST, observability, observability, stuck-at fault, shift registers, testability analysis, test patterns |
43 | S. Lavabre, Yves Bertrand, Michel Renovell, Christian Landrault |
Test configurations to enhance the testability of sequential circuits. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
shift operation, scan register, test operation, modified flip-flops, ISCAS89 benchmarks, multiconfiguration, triconfiguration, dynamic generation, logic testing, controllability, design for testability, design for testability, sequential circuits, sequential circuits, observability, observability, DFT, fault coverage, flip-flops, minimisation, scan designs, test application time, test vector |
43 | Michel Renovell, Florence Azaïs, Yves Bertrand |
A design-for-test technique for multistage analog circuits. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
design-for-test technique, multistage analog circuits, DFT approach, op-amp-based modules, testability resources, transparent paths, external I/O, local I/O, test mode, on-chip digital resources, analog response penalty, controllability, controllability, integrated circuit testing, design for testability, observability, observability, mixed-signal circuits, mixed analogue-digital integrated circuits, test management, production testing |
43 | Mohamed Soufi, Yvon Savaria, Bozena Kaminska |
On the design of at-speed testable VLSI circuits. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique |
43 | C. P. Ravikumar, Hemant Joshi |
HISCOAP: a hierarchical testability analysis tool. |
VLSI Design |
1995 |
DBLP DOI BibTeX RDF |
HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model |
40 | Gian Luca Mariottini, Simone Martini 0002, Magnus Egerstedt |
A switching active sensing strategy to maintain observability for vision-based formation control. |
ICRA |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Yu Sun 0004, John M. Hollerbach |
Observability index selection for robot calibration. |
ICRA |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Laura Brandán Briones, Alexander Lazovik, Philippe Dague |
Optimizing the System Observability Level for Diagnosability. |
ISoLA |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Daniel Zelazo, Mehran Mesbahi |
On the observability properties of homogeneous and heterogeneous networked dynamic systems. |
CDC |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Manel Khlif, Mohamed Shawky |
Observability Checking to Enhance Diagnosis of Real Time Electronic Systems. |
DS-RT |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Michael L. Case, Victor N. Kravets, Alan Mishchenko, Robert K. Brayton |
Merging nodes under sequential observability. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
synthesis, observable, merge, sequential |
40 | Tahar Zamène Boulmezaoud, José M. Urquiza |
On The Eigenvalues of the Spectral Second Order Differentiation Operator and Application to the Boundary Observability of the Wave Equation. |
J. Sci. Comput. |
2007 |
DBLP DOI BibTeX RDF |
2000 Mathematics Subject Classification 65N35, 93B07, 93B60, 65D05, 65F15 |
40 | Teresa A. Vidal-Calleja, Mitch Bryson, Salah Sukkarieh, Alberto Sanfeliu, Juan Andrade-Cetto |
On the Observability of Bearing-only SLAM. |
ICRA |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Y. Cao, Mingsheng Ying |
Observability and Decentralized Control of Fuzzy Discrete-Event Systems. |
IEEE Trans. Fuzzy Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Alessandro D'Innocenzo, Maria Domenica Di Benedetto, Stefano Di Gennaro |
Observability of Hybrid Automata by Abstraction. |
HSCC |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Daijue Tang, Sharad Malik |
Solving Quantified Boolean Formulas with Circuit Observability Don't Cares. |
SAT |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Chris J. Dafis, Chika Nwankpa |
Characteristics of Degree of Observability Measure for Nonlinear Power Systems. |
HICSS |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Jorge Júlvez, Emilio Jiménez, Laura Recalde, Manuel Silva Suárez |
On Observability in Timed Continuous Petri Net Systems. |
QEST |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Patricia Bouyer, Deepak D'Souza, P. Madhusudan, Antoine Petit 0001 |
Timed Control with Partial Observability. |
CAV |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Chris J. Dafis, Chika Nwankpa |
Examining characteristics of an observability formulation for nonlinear power systems. |
ISCAS (3) |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Farzan Fallah, Srinivas Devadas, Kurt Keutzer |
OCCOM-efficient computation of observability-based code coveragemetrics for functional verification. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Michel Bidoit, Rolf Hennicker, Alexander Kurz 0001 |
On the Duality between Observability and Reachability. |
FoSSaCS |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero |
High-Level Observability for Effective High-Level ATPG. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
|
40 | Farzan Fallah, Srinivas Devadas, Kurt Keutzer |
OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification. |
DAC |
1998 |
DBLP DOI BibTeX RDF |
high-level synthesis, telecommunication |
38 | Abdelkrim Nemra, Nabil Aouf |
Robust Airborne 3D Visual Simultaneous Localization and Mapping with Observability and Consistency Analysis. |
J. Intell. Robotic Syst. |
2009 |
DBLP DOI BibTeX RDF |
EKF SLAM, NH? SLAM, Loop closure, Map management, Consistency, Observability, Stereo vision, Unmanned aerial vehicle, Simultaneous localization and mapping |
38 | Mohamed Ait Mansour |
On the perturbation of the observability equation in linear control systems. |
J. Glob. Optim. |
2008 |
DBLP DOI BibTeX RDF |
Linear control systems, Quantitative stability, Observability, Perturbation, Ordinary differential equations |
38 | Qi Zhu 0002, Nathan Kitchen, Andreas Kuehlmann, Alberto L. Sangiovanni-Vincentelli |
SAT sweeping with local observability don't-cares. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
SAT sweeping, and/inverter graphs, observability |
38 | Nikhil Saluja, Sunil P. Khatri |
A robust algorithm for approximate compatible observability don't care (CODC) computation. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
compatible observability don't cares (CODC), multi-level logic optimization, logic synthesis |
38 | Alexandre Sedoglavic |
A probabilistic algorithm to test local algebraic observability in polynomial time. |
ISSAC |
2001 |
DBLP DOI BibTeX RDF |
seminumerical algorithm, observability, identifiability |
38 | Naotake Kamiura, Yutaka Hata, Nobuyuki Matsui |
Controllability/Observability Measures for Multiple-Valued Test Generation Based on D-Algorithm. |
ISMVL |
2000 |
DBLP DOI BibTeX RDF |
controllability measure, observability measure, test generation, multiple-valued logic, D-algorithm |
38 | Frank F. Hsu, Janak H. Patel |
High-Level Controllability and Observability Analysis for Test Synthesis. |
J. Electron. Test. |
1998 |
DBLP DOI BibTeX RDF |
controllability, observability, high-level test synthesis, behavioral modification |
37 | Nejib Ben Hadj-Alouane, Stéphane Lafrance, Feng Lin 0001, John Mullins, Mohamed Moez Yeddes |
On the verification of intransitive noninterference in multilevel security. |
IEEE Trans. Syst. Man Cybern. Part B |
2005 |
DBLP DOI BibTeX RDF |
|
37 | Yu Zhang, Richard A. Volz, Thomas R. Ioerger |
Observation-based proactive communication in team cooperation. |
AAMAS |
2005 |
DBLP DOI BibTeX RDF |
proactive communication, observation |
37 | Cristiana Bolchini, Fabio Salice, Donatella Sciuto |
A novel methodology for designing TSC networks based on the parity bit code. |
ED&TC |
1997 |
DBLP DOI BibTeX RDF |
|
37 | Vishwani D. Agrawal, Michael L. Bushnell, Qing Lin |
Redundancy Identification Using Transitive Closure. |
Asian Test Symposium |
1996 |
DBLP DOI BibTeX RDF |
|
35 | Robert M. Hierons, Hasan Ural |
Checking sequences for distributed test architectures. |
Distributed Comput. |
2008 |
DBLP DOI BibTeX RDF |
Distributed test architecture, Coordination problems, Observability problems, Testing, Checking sequence |
35 | Robert Bodor, Andrew Drenner, Paul R. Schrater, Nikolaos Papanikolopoulos |
Optimal Camera Placement for Automated Surveillance Tasks. |
J. Intell. Robotic Syst. |
2007 |
DBLP DOI BibTeX RDF |
Robot/camera placement, Vision-based robotics, Optimization, Sensor networks, Observability, Camera networks |
35 | Hasan Ural, Craig Williams |
Constructing checking sequences for distributed testing. |
Formal Aspects Comput. |
2006 |
DBLP DOI BibTeX RDF |
Distributed test architectures, Controllability and observability problems, Test coordination, Finite state machine-based testing, Distributed testing, Checking sequence |
35 | Abdelaâziz Bel Fekih, Abdelhaq El Jai |
Regional Analysis of a Class of Cellular Automata Models. |
ACRI |
2006 |
DBLP DOI BibTeX RDF |
Additive real-valued Cellular Automata, Regional controllability, Regional Observability, Sensors, Actuators |
35 | Ahmed Khoumsi |
A Temporal Approach for Testing Distributed Systems. |
IEEE Trans. Software Eng. |
2002 |
DBLP DOI BibTeX RDF |
distributed systems, controllability, observability, Conformance testing, waiting time, reaction time |
35 | Anish Arora, Rajesh Jagannathan, Yi-Min Wang |
Model-Based Fault Detection in Powerline Networking. |
IPDPS |
2002 |
DBLP DOI BibTeX RDF |
hidden state, unobservable transitions, models, formal methods, fault detection, observability, network protocols, finite state automata, X10 |
35 | Norio Kuji, Takako Ishihara |
EB-Testing-Pad Method and Its Evaluation by Actual Devices. |
Asian Test Symposium |
2001 |
DBLP DOI BibTeX RDF |
E-beam tester, stacked vias, testing pads, multi level wiring, CMp, SIMOX/CMOS technology, observability |
35 | Christos A. Papachristou, Mikhail Baklashov |
A test synthesis technique using redundant register transfers. |
ICCAD |
1997 |
DBLP DOI BibTeX RDF |
behavioral variables, conditional statements, redundant register transfers, structural signals, test synthesis technique, testability metrics, graph theory, logic testing, controllability, high level synthesis, VHDL, observability, fault coverage, data path, hardware overhead, behavioral descriptions |
31 | Jorge Júlvez, Emilio Jiménez, Laura Recalde, Manuel Silva Suárez |
On Observability and Design of Observers inTimed Continuous Petri Net Systems. |
IEEE Trans Autom. Sci. Eng. |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Carlos Bielsa Campos, Harish J. Palanthandalam-Madapusi |
Delayed input and state observability. |
CDC |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao |
A Framework for Automatic Design Validation of RTL Circuits Using ATPG and Observability-Enhanced Tag Coverage. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Kai Chen 0009, Fan Jiang 0005, Chuan-dong Huang, Zongyao Tang, Yang Qin |
Testing a Distributed System: Generating Test Sequences without Potential Controllability and Observability Problems. |
ICN/ICONS/MCL |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Bei Gou |
Observability analysis by measurement Jacobian matrix for state estimation. |
ISCAS (4) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Mohamed Babaali, Magnus Egerstedt |
Observability of Switched Linear Systems. |
HSCC |
2004 |
DBLP DOI BibTeX RDF |
|
31 | René Vidal, Alessandro Chiuso, Stefano Soatto, Shankar Sastry 0001 |
Observability of Linear Hybrid Systems. |
HSCC |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Tao Lv 0001, Jianping Fan 0002, Xiaowei Li 0001 |
An Efficient Observability Evaluation Algorithm Based on Factored Use-Def Chains. |
Asian Test Symposium |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Yang Xiao |
Stability, controllability and observability of 2-D continuous-discrete systems. |
ISCAS (4) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Timothy Wheeler, Paul S. Graham, Brent E. Nelson, Brad L. Hutchings |
Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. |
FPL |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Darko Kirovski, Miodrag Potkonjak, Lisa M. Guerra |
Improving the observability and controllability of datapaths foremulation-based debugging. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
31 | W. David Ballew, Lauren M. Streb |
Board-level boundary scan: regaining observability with an additional IC. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1992 |
DBLP DOI BibTeX RDF |
|
29 | Jong Chul Lee, Andrew S. Gardner, Roman Lysecky |
Hardware Observability Framework for Minimally Intrusive Online Monitoring of Embedded Systems. |
ECBS |
2011 |
DBLP DOI BibTeX RDF |
online system monitoring, verification, testing, validation, observability |
29 | Raza Abbas Syed, Brian Robinson, Laurie A. Williams |
Does Hardware Configuration and Processor Load Impact Software Fault Observability? |
ICST |
2010 |
DBLP DOI BibTeX RDF |
failure observability, Software testing, empirical study, observation-based testing |
29 | Sébastien Salva, Issam Rabhi |
A BPEL Observability Enhancement Method. |
ICWS |
2010 |
DBLP DOI BibTeX RDF |
enhancement methods, observability, BPEL, testability |
29 | Jose Pablo Escobedo, Christophe Gaston, Pascale Le Gall, Ana R. Cavalli |
Observability and Controllability Issues in Conformance Testing of Web Service Compositions. |
TestCom/FATES |
2009 |
DBLP DOI BibTeX RDF |
observability and controllability, verdict testing report, Web Service composition, Conformance testing |
29 | Masahisa Nakazawa, Susumu Nitta, Kanji Hirabayashi |
Probabilistic fault grading based on activation checking and observability analysis. |
J. Electron. Test. |
1990 |
DBLP DOI BibTeX RDF |
activation checking, fault-free simulation, fault grading, statistical fault analysis, controllability, observability, detectability |
29 | Jacob Savir |
Good Controllability and Observability Do Not Guarantee Good Testability. |
IEEE Trans. Computers |
1983 |
DBLP DOI BibTeX RDF |
Controllability, observability, random testing, testability, deterministic testing |
28 | Xiaojun Ma, Jing Huang 0001, Cecilia Metra, Fabrizio Lombardi |
Detecting Multiple Faults in One-Dimensional Arrays of Reversible QCA Gates. |
J. Electron. Test. |
2009 |
DBLP DOI BibTeX RDF |
Array testing, Nanotechnology, Emerging technology, Reversible computing, QCA |
28 | Sandip Ray, Warren A. Hunt Jr. |
Connecting pre-silicon and post-silicon verification. |
FMCAD |
2009 |
DBLP DOI BibTeX RDF |
|
28 | Robert M. Hierons |
Testing in the Distributed Test Architecture: An Extended Abstract. |
QSIC |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Anthony Harrington, Vinny Cahill |
Domain Modelling for Ubiquitous Computing Applications. |
AINA Workshops (2) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Wei Qu, Dan Schonfeld |
Robust Kernel-Based Tracking using Optimal Control. |
ICIP |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Stavros Tripakis |
Two-Phase Distributed Observation Problems. |
ACSD |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Seog-Hwan Yoo, Byung-Jae Choi |
A Balanced Model Reduction for T-S Fuzzy Systems with Integral Quadratic Constraints. |
FSKD (1) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Erik Jan Marinissen |
Security vs. Test Quality: Can We Really Only Have One at a Time? |
ITC |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Seog-Hwan Yoo, Byung-Jae Choi |
A Balanced Model Reduction for T-S Fuzzy Systems with Uncertain Time Varying Parameters. |
CIS |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Hasan Ural, Craig Williams |
Generating Checking Sequences for a Distributed Test Architecture. |
TestCom |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie |
Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
28 | Sanjay J. Patel, Janak H. Patel |
Effectiveness of heuristics measures for automatic test pattern generation. |
DAC |
1986 |
DBLP DOI BibTeX RDF |
|
26 | Moez Krichen, Stavros Tripakis |
Conformance testing for real-time systems. |
Formal Methods Syst. Des. |
2009 |
DBLP DOI BibTeX RDF |
On-the-fly algorithms, Real-time systems, Test generation, Coverage, Timed automata, Conformance testing, Specification and verification, Partial observability |
26 | Chunbo Chu, Monica Brockmeyer |
Predicate Detection Modality and Semantics in Three Partially Synchronous Models. |
ACIS-ICIS |
2008 |
DBLP DOI BibTeX RDF |
Semantics, Observability, Modality, Partial synchrony, Predicate detection |
26 | Xiuqin Wang, Guangsheng Ma, Hao Wang |
A Novel Method for All Solutions SAT Problem. |
SNPD |
2008 |
DBLP DOI BibTeX RDF |
All Solutions, Observability Don't Cares, Circuit Structure, Boolean Satisfiability |
26 | Shigemasa Takai, Toshimitsu Ushio |
Supervisory Control of a Class of Concurrent Discrete Event Systems Under Partial Observation. |
Discret. Event Dyn. Syst. |
2005 |
DBLP DOI BibTeX RDF |
Concurrent discrete event system, concurrent well-posedness, controllability, observability, supervisory control |
26 | Chun-Lung Hsu |
Control and Observation Structure for Analog Circuits with Current Test Data. |
J. Electron. Test. |
2004 |
DBLP DOI BibTeX RDF |
current store cell (CSC), controllability, observability, analog circuit, current-mode |
26 | Omar Rafiq, Leo Cacciari |
Coordination Algorithm for Distributed Testing. |
J. Supercomput. |
2003 |
DBLP DOI BibTeX RDF |
test coordination procedures, controllability, observability, distributed testing, testing architecture |
Displaying result #1 - #100 of 2479 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|