|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 568 occurrences of 320 keywords
|
|
|
Results
Found 575 publication records. Showing 575 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
120 | Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N. Patt |
Prefetch-Aware DRAM Controllers. |
MICRO |
2008 |
DBLP DOI BibTeX RDF |
|
109 | Xiaotong Zhuang, Hsien-Hsin S. Lee |
Reducing Cache Pollution via Dynamic Data Prefetch Filtering. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
Prefetch, microarchitecture, memory subsystems |
109 | Viji Srinivasan, Edward S. Davidson, Gary S. Tyson |
A Prefetch Taxonomy. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
Prefetch algorithms, cache memory systems |
100 | Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen |
Hardware Support for Prescient Instruction Prefetch. |
HPCA |
2004 |
DBLP DOI BibTeX RDF |
|
100 | Hui Song, Guohong Cao |
Cache-Miss-Initiated Prefetch in Mobile Environments. |
Mobile Data Management |
2004 |
DBLP DOI BibTeX RDF |
|
89 | Jonas Skeppstedt |
Overcoming Limitations of Prefetching in Multiprocessors by Compiler-Initiated Coherence Actions. |
IEEE PACT |
1997 |
DBLP DOI BibTeX RDF |
compiler-initiated coherence, CC-NUMA multiprocessor, compiler-controlled prefetching, read-stall time, write-latency, read-latency, memory access latency reduction, migratory sharing, parallel architectures, multiprocessors, prefetching, prefetch, compiler-analysis |
79 | Javed I. Khan, Qingping Tao |
Webspace Surfing Patterns and Their Impact on Web Prefetching. |
CW |
2003 |
DBLP DOI BibTeX RDF |
User Interaction Behavior, Web Surfing, Prefetch, Web Engineering |
79 | Kyungsu Kang, Jungsoo Kim, Heejun Shim, Chong-Min Kyung |
Software power estimation using IPI(inter-prefetch interval) power model for advanced off-the-shelf processor. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
IPI power model, black-box power model, prefetch power model, processor power modeling, software power estimation |
79 | Tor M. Aamodt, Pedro Marcuello, Paul Chow, Antonio González 0001, Per Hammarlund, Hong Wang 0003, John Paul Shen |
A framework for modeling and optimization of prescient instruction prefetch. |
SIGMETRICS |
2003 |
DBLP DOI BibTeX RDF |
optimization, multithreading, analytical modeling, path expressions, helper threads, instruction prefetch |
78 | Binny S. Gill, Luis Angel D. Bathen |
Optimal multistream sequential prefetching in a shared cache. |
ACM Trans. Storage |
2007 |
DBLP DOI BibTeX RDF |
Adaptive prefetching, asynchronous prefetching, degree of prefetch, fixed prefetching, multistream read, optimal prefetching, prefetch wastage, prestaging, sequential prefetching, synchronous prefetching, trigger distance, cache pollution |
71 | Nicholas Kohout, Seungryul Choi, Dongkeun Kim, Donald Yeung |
Multi-Chain Prefetching: Effective Exploitation of Inter-Chain Memory Parallelism for Pointer-Chasing Codes. |
IEEE PACT |
2001 |
DBLP DOI BibTeX RDF |
|
70 | Shaahin Hessabi, Mehdi Modarressi, Maziar Goudarzi, Hani JavanHemmat |
A Table-Based Application-Specific Prefetch Engine for Object-Oriented Embedded Systems. |
ICSAMOS |
2006 |
DBLP DOI BibTeX RDF |
|
70 | Seungryul Choi, Nicholas Kohout, Sumit Pamnani, Dongkeun Kim, Donald Yeung |
A general framework for prefetch scheduling in linked data structures and its application to multi-chain prefetching. |
ACM Trans. Comput. Syst. |
2004 |
DBLP DOI BibTeX RDF |
memory parallelism, pointer-chasing code, Data prefetching |
70 | Wei-Kuo Liao, Chung-Ta King |
Proxy Prefetch and Prefix Caching. |
ICPP |
2001 |
DBLP DOI BibTeX RDF |
|
70 | Steven P. Vanderwiel, David J. Lilja |
A Compiler-Assisted Data Prefetch Controller. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
|
69 | Weili Li, Lixin Yu |
Efficient line buffer instruction cache scheme with prefetch. |
ICIS |
2009 |
DBLP DOI BibTeX RDF |
line buffer, cache, prefetch |
69 | Philip A. Bernstein, Shankar Pal, David Shutt |
Context-based prefetch - an optimization for implementing objects on relations. |
VLDB J. |
2000 |
DBLP DOI BibTeX RDF |
Caching, Object-oriented database, Prefetch, Object-relational mapping |
60 | Heung Ki Lee, Baik Song An, Eun Jung Kim 0001 |
Adaptive Prefetching Scheme Using Web Log Mining in Cluster-Based Web Systems. |
ICWS |
2009 |
DBLP DOI BibTeX RDF |
|
60 | Qiong Zou, Xiao-Feng Li, Long-Bing Zhang |
Runtime Engine for Dynamic Profile Guided Stride Prefetching. |
J. Comput. Sci. Technol. |
2008 |
DBLP DOI BibTeX RDF |
stride prefetching, runtime system, dynamic profiling |
60 | Ali Ibrahim, William R. Cook |
Automatic Prefetching by Traversal Profiling in Object Persistence Architectures. |
ECOOP |
2006 |
DBLP DOI BibTeX RDF |
|
60 | Javed I. Khan, Qingping Tao |
Exploiting Webspace Organization for Accelerating Web Prefetching. |
Web Intelligence |
2003 |
DBLP DOI BibTeX RDF |
|
60 | Jonas Skeppstedt, Michel Dubois 0001 |
Hybrid compiler/hardware prefetching for multiprocessors using low-overhead cache miss traps. |
ICPP |
1997 |
DBLP DOI BibTeX RDF |
hybrid compiler/hardware prefetching, low-overhead cache miss traps, data prefetching technique, cache coherent multiprocessors, cache miss traps, trap handler, simulated multiprocessor, compiler, multiprocessors, multiprocessing systems |
60 | Hai Jin 0001, Chao Xie, Kaiqin Fan, Rong Hu, Yunfa Li 0001 |
Single Stream Image and Stream Network Prefetch in Streaming Media Proxy Caching. |
AINA |
2005 |
DBLP DOI BibTeX RDF |
|
60 | Liangzhong Yin, Guohong Cao |
Adaptive power-aware prefetch in wireless networks. |
IEEE Trans. Wirel. Commun. |
2004 |
DBLP DOI BibTeX RDF |
|
60 | Liangzhong Yin, Guohong Cao, Chita R. Das, Ajeesh Ashraf |
Power-Aware Prefetch in Mobile Environments. |
ICDCS |
2002 |
DBLP DOI BibTeX RDF |
|
59 | Wook-Shin Han, Woong-Kee Loh, Kyu-Young Whang |
Type-Level Access Pattern View: A Technique for Enhancing Prefetching Performance. |
DASFAA |
2006 |
DBLP DOI BibTeX RDF |
navigational application, prefetch method, type-level path access log, type-level access pattern view |
58 | Yasuo Ishii, Mary Inaba, Kei Hiraki |
Access map pattern matching for data cache prefetch. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
cache memory, data prefetch |
58 | Robin Garner, Stephen M. Blackburn, Daniel Frampton |
Effective prefetch for mark-sweep garbage collection. |
ISMM |
2007 |
DBLP DOI BibTeX RDF |
Java, software prefetch, mark-sweep |
58 | Thomas Alexander, Gershon Kedem |
Distributed Prefetch-buffer/Cache Design for High-Performance Memory Systems. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
Prefetch-buffer, cache, prediction, memory |
50 | Weifeng Zhang 0003, Brad Calder, Dean M. Tullsen |
A Self-Repairing Prefetcher in an Event-Driven Dynamic Optimization Framework. |
CGO |
2006 |
DBLP DOI BibTeX RDF |
|
50 | José R. Brunheroto, Valentina Salapura, Fernando F. Redígolo, Dirk Hoenicke, Alan Gara |
Data cache prefetching design space exploration for BlueGene/L supercomputer. |
SBAC-PAD |
2005 |
DBLP DOI BibTeX RDF |
|
50 | David M. Koppelman |
Neighborhood Prefetching on Multiprocessors Using Instruction History. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
50 | Junghoon Lee, Gyung-Leen Park, Sang-Wook Kim, Hye-Jin Kim 0004, Sung Y. Shin |
A hybrid prefetch policy for the retrieval of link-associated information on vehicular networks. |
SAC |
2010 |
DBLP DOI BibTeX RDF |
gateway cache, hybrid data fetch, route information retrieval, vehicular telematics network, response time |
50 | Ozcan Ozturk 0001, Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy |
Prefetch throttling and data pinning for improving performance of shared caches. |
SC |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Ali-Reza Adl-Tabatabai, Richard L. Hudson, Mauricio J. Serrano, Sreenivas Subramoney |
Prefetch inection based on hardware monitoring and object metadata. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
virtual machines, garbage collection, prefetching, compiler optimization, cache misses, profile-guided optimization |
50 | Junpei Niwa |
Prefetch Mechanism in Compiler-Assisted S-DSM System. |
ICPP Workshops |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Zhi Zheng 0007, Tony K. Y. Chan |
Optimized Neighbour Prefetch and Cache for Client-server Based Walkthrough. |
CW |
2003 |
DBLP DOI BibTeX RDF |
|
49 | Chia-Lin Yang, Alvin R. Lebeck, Hung-Wei Tseng 0001, Chien-Hao Lee |
Tolerating memory latency through push prefetching for pointer-intensive applications. |
ACM Trans. Archit. Code Optim. |
2004 |
DBLP DOI BibTeX RDF |
linked data structures, pointer-chasing, Prefetch, memory hierarchy |
48 | Tor M. Aamodt, Paul Chow |
Optimization of data prefetch helper threads with path-expression based statistical modeling. |
ICS |
2007 |
DBLP DOI BibTeX RDF |
optimization, multithreading, analytical modeling, data prefetch, path expressions, helper threads |
48 | Rajeev Balasubramonian |
Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures. |
ICS |
2004 |
DBLP DOI BibTeX RDF |
communication-bound processors, effective address and memory dependence prediction, processor, data prefetch, distributed caches, clustered microarchitectures |
48 | Ken W. Batcher, Robert A. Walker 0001 |
Cluster miss prediction with prefetch on miss for embedded CPU instruction caches. |
CASES |
2004 |
DBLP DOI BibTeX RDF |
hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch |
40 | Valentina Salapura, José R. Brunheroto, Fernando F. Redígolo, Alan Gara |
Exploiting eDRAM bandwidth with data prefetching: simulation and measurements. |
ICCD |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Spiros Kalogeropulos, Mahadevan Rajagopalan, Vikram Rao, Yonghong Song, Partha Tirumalai |
Processor Aware Anticipatory Prefetching in Loops. |
HPCA |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Dan Wallin, Erik Hagersten |
Bundling: Reducing the Overhead of Multiprocessor Prefetchers. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Shih-Chang Lai, Shih-Lien Lu |
Hardware-based Pointer Data Prefetcher. |
ICCD |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Youtao Zhang, Rajiv Gupta 0001 |
Enabling Partial Cache Line Prefetching Through Data Compression. |
ICPP |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery |
Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. |
PLDI |
2002 |
DBLP DOI BibTeX RDF |
chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization |
40 | Sathiamoorthy Manoharan, Chaitanya Reddy Yavasani |
Experiments with Sequential Prefetching. |
HPCN |
2001 |
DBLP DOI BibTeX RDF |
|
40 | An-Chow Lai, Cem Fide, Babak Falsafi |
Dead-block prediction & dead-block correlating prefetchers. |
ISCA |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Magnus Karlsson 0002, Fredrik Dahlgren, Per Stenström |
A Prefetching Technique for Irregular Accesses to Linked Data Structures. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
|
40 | Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh |
Performance modelling of speculative prefetching for compound requests in low bandwidth networks. |
WOWMOM |
2000 |
DBLP DOI BibTeX RDF |
compound requests, speculative prefetching, caching, Web |
40 | Junichi Funasaka, Keizo Saisho, Akira Fukuda |
Automatic Selecting of Required NetNews Articles. |
APSEC |
1999 |
DBLP DOI BibTeX RDF |
NetNews, Cache system, Automatic selection, Simulation, Caching algorithm |
40 | Chi-Hung Chi, Jun-Li Yuan |
Sequential Unification and Aggressive Lookahead Mechanisms for Data Memory Accesses. |
PaCT |
1999 |
DBLP DOI BibTeX RDF |
|
40 | Jim Pierce, Trevor N. Mudge |
Wrong-path Instruction Prefetching. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
|
40 | Shih-wei Liao, Tzu-Han Hung, Donald Nguyen, Hucheng Zhou, Chinyen Chou, Chia-Heng Tu |
Prefetch optimizations on large-scale applications via parameter value prediction. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
data center applications, machine learning |
40 | Hsiao-Hsi Wang, Kuan-Ching Li, Kuo-Jen Wang, Ssu-Hsuan Lu |
On the Design and Implementation of an Effective Prefetch Strategy for DSM Systems. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
prefetching strategy, home-based, page hit, shared address space, DSM systems |
40 | Fredy Rivera, Marcos Sánchez-Élez, Milagros Fernández, Román Hermida, Nader Bagherzadeh |
Low Power Data Prefetch for 3D Image Applications on Coarse-Grain Reconfigurable Architectures. |
IPDPS |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Lei Shi 0001, Bing Song, Xiaoguang Ding, Zhimin Gu, Lin Wei |
Web Prefetching Control Model Based on Prefetch-Cache Interaction. |
SKG |
2005 |
DBLP DOI BibTeX RDF |
|
40 | Soong Hyun Shin, Cheol Hong Kim, Chu Shik Jhon |
An Effective Instruction Cache Prefetch Policy by Exploiting Cache History Information. |
EUC |
2005 |
DBLP DOI BibTeX RDF |
Computer architecture, embedded processor, instruction cache, cache prefetching |
40 | Maria Hong, Dongyeop Ryu, Jae-Chul Sir, Eun-Young Kim, Younghwan Lim |
Using a Transcode and Prefetch Method for Playing XML Contents Containing Multiple Multimedia Data on Mobile Terminals. |
EDBT Workshops |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Yasuhiko Okura, Yasushi Matsumura, Hajime Harauchi, Yoshiharu Sukenobu, Hiroko Kou, Syunsuke Kohyama, Norihiro Yasuda, Yuichiro Yamamoto, Kiyonari Inamura |
An Inductive Method for Automatic Generation of Referring Physician Prefetch Rules for PACS. |
J. Digit. Imaging |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Glenn Reinman, Brad Calder, Todd M. Austin |
High Performance and Energy Efficient Serial Prefetch Architecture. |
ISHPC |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Olivier Temam |
Streaming Prefetch. |
Euro-Par, Vol. II |
1996 |
DBLP DOI BibTeX RDF |
|
40 | Teruyuki Hasegawa, Toru Hasegawa, Toshihiko Kato, Kenji Suzuki 0003 |
A Video Retrieval Protocol with Video Data Prefetch and Packet Retransmission Considering Play-out Dead Line. |
ICNP |
1996 |
DBLP DOI BibTeX RDF |
|
39 | Aswinkumar Sridharan, Biswabandan Panda, André Seznec |
Band-Pass Prefetching: An Effective Prefetch Management Mechanism Using Prefetch-Fraction Metric in Multi-Core Systems. |
ACM Trans. Archit. Code Optim. |
2017 |
DBLP DOI BibTeX RDF |
|
39 | Hui Kang, Jennifer L. Wong |
To hardware prefetch or not to prefetch?: a virtualized environment study and core binding approach. |
ASPLOS |
2013 |
DBLP DOI BibTeX RDF |
|
39 | Dongkeun Kim, Donald Yeung |
A study of source-level compiler algorithms for automatic construction of pre-execution code. |
ACM Trans. Comput. Syst. |
2004 |
DBLP DOI BibTeX RDF |
pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism |
39 | Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada |
A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. |
ICCD |
1999 |
DBLP DOI BibTeX RDF |
scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch |
39 | Robert Yung |
Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor |
38 | Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé |
Automatic Prefetch and Modulo Scheduling Transformations for the Cell BE Architecture. |
IEEE Trans. Parallel Distributed Syst. |
2010 |
DBLP DOI BibTeX RDF |
prefetch code generation, Multicore processor, local memories, software cache |
38 | Juan Fang, Hongbo Zhang |
Analysis and Improvement of Dynamic Multi-core Hardware Prefetch Technology Based on Pre-execution. |
FCST |
2010 |
DBLP DOI BibTeX RDF |
multi-core prefetch, pre-execution based prefetching, coherency of cache, CMP, multicore architecture |
38 | Luis C. Aparicio, Juan Segarra, Clemente Rodríguez, Víctor Viñals |
Combining Prefetch with Instruction Cache Locking in Multitasking Real-Time Systems. |
RTCSA |
2010 |
DBLP DOI BibTeX RDF |
prefetch, WCET, instruction cache |
38 | Bin Chen, Nong Xiao, Zhiping Cai, Ji Wang |
DPM: A Demand-driven Virtual Disk Prefetch Mechanism for Mobile Personal Computing Environments. |
NPC |
2009 |
DBLP DOI BibTeX RDF |
virtual disk, personal computing environment, peer-to-peer, virtual machine, prefetch |
38 | Ying Chen, Resit Sendag, David J. Lilja |
Using Incorrect Speculation to Prefetch Data in a Concurrent Multithreaded Processor. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
superthreaded architecture, wrong-thread executioin, wrong-path execution, wrong execution cache (WEC), data prefetch, multithreaded processor |
38 | Martin De Prycker |
Representing the Effect of Instruction Prefetch in a Microprocessor Performance Model. |
IEEE Trans. Computers |
1983 |
DBLP DOI BibTeX RDF |
Best/worst case, instruction prefetch pipeline, memory speed, performance analysis, clock cycles |
37 | Chen-Yong Cher, Antony L. Hosking, T. N. Vijaykumar |
Software prefetching for mark-sweep garbage collection: hardware analysis and software redesign. |
ASPLOS |
2004 |
DBLP DOI BibTeX RDF |
buffered prefetch, prefetch-on-grey, garbage collection, prefetching, cache architecture, breadth-first, mark-sweep, depth-first |
30 | Eiman Ebrahimi, Onur Mutlu, Yale N. Patt |
Techniques for bandwidth-efficient prefetching of linked data structures in hybrid prefetching systems. |
HPCA |
2009 |
DBLP DOI BibTeX RDF |
|
30 | Seung Woo Son 0001, Mahmut T. Kandemir, Mustafa Karaköy, Dhruva R. Chakrabarti |
A compiler-directed data prefetching scheme for chip multiprocessors. |
PPoPP |
2009 |
DBLP DOI BibTeX RDF |
compiler, chip multiprocessors, prefetching, helper thread |
30 | Shih-Hao Hung, Chien-Cheng Wu, Chia-Heng Tu |
Optimizing the Embedded Caching and Prefetching Software on a Network-Attached Storage System. |
EUC (1) |
2008 |
DBLP DOI BibTeX RDF |
|
30 | Xiaotong Zhuang, Santosh Pande |
Power-efficient prefetching for embedded processors. |
ACM Trans. Embed. Comput. Syst. |
2007 |
DBLP DOI BibTeX RDF |
bit-differential addressing, offset assignment, embedded processors, Data prefetching |
30 | Jean Christophe Beyler, Philippe Clauss |
Performance driven data cache prefetching in a dynamic software optimization system. |
ICS |
2007 |
DBLP DOI BibTeX RDF |
binary instrumentation, data cache prefetching, dynamic optimization |
30 | Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero |
Kilo-instruction processors, runahead and prefetching. |
Conf. Computing Frontiers |
2006 |
DBLP DOI BibTeX RDF |
runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors |
30 | Kyle J. Nesbit, James E. Smith 0001 |
Data Cache Prefetching Using a Global History Buffer. |
IEEE Micro |
2005 |
DBLP DOI BibTeX RDF |
|
30 | K. Y. Leung, Eric W. M. Wong, Kai-Hau Yeung |
Designing Efficient and Robust Caching Algorithms for Streaming-on-Demand Services on the Internet. |
World Wide Web |
2004 |
DBLP DOI BibTeX RDF |
streaming-on-demand services, time-varying behavior, prefetching, content delivery networks, caching algorithms |
30 | Yi Zhang, Steve Haga, Rajeev Barua |
Execution History Guided Instruction Prefetching. |
J. Supercomput. |
2004 |
DBLP DOI BibTeX RDF |
hardware prefetching, instruction cache, memory latency, instruction prefetching |
30 | Xiaotong Zhuang, Santosh Pande |
Power-efficient prefetching via bit-differential offset assignment on embedded processors. |
LCTES |
2004 |
DBLP DOI BibTeX RDF |
bit-differential addressing, offset assignment, embedded processors, data prefetching |
30 | K. Y. Leung, Eric W. M. Wong, Kai-Hau Yeung |
Design of Distributed Video Cache System on the Internet. |
ICDCS Workshops |
2003 |
DBLP DOI BibTeX RDF |
|
30 | Junehwa Song, Asit Dan, Dinkar Sitaram |
JINSIL: A middleware for presentation of composite multimedia objects in a distributed environment. |
Multim. Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Trishul M. Chilimbi, Martin Hirzel |
Dynamic Hot Data Stream Prefetching for General-Purpose Programs. |
PLDI |
2002 |
DBLP DOI BibTeX RDF |
data reference profiling, memory performance optimization, temporal profiling, prefetching, dynamic optimization, dynamic profiling |
30 | Yi Zhang, Steve Haga, Rajeev Barua |
Execution history guided instruction prefetching. |
ICS |
2002 |
DBLP DOI BibTeX RDF |
performance, prefetching, hardware, instruction cache |
30 | Robert Cooksey, Stéphan Jourdan, Dirk Grunwald |
A stateless, content-directed data prefetching mechanism. |
ASPLOS |
2002 |
DBLP DOI BibTeX RDF |
|
30 | Chi-Keung Luk, Todd C. Mowry |
Architectural and compiler support for effective instruction prefetching: a cooperative approach. |
ACM Trans. Comput. Syst. |
2001 |
DBLP DOI BibTeX RDF |
compiler optimization, instruction prefetching |
30 | Hock-Beng Lim, Pen-Chung Yew |
Efficient Integration of Compiler-Directed Cache Coherence and Data Prefetching. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Shared-memory Multiprocessors, Data Prefetching, Memory System Design |
30 | Chi-Hung Chi, Jun-Li Yuan |
Design Considerations of High Performance Data Cache with Prefetching. |
Euro-Par |
1999 |
DBLP DOI BibTeX RDF |
|
30 | Hock-Beng Lim, Pen-Chung Yew |
An Integrated Framework for Compiler-Directed Cache Coherence and Data Prefetching. |
LCPC |
1998 |
DBLP DOI BibTeX RDF |
Compiler-directed Cache Coherence, Memory Latency Hiding, Shared-memory Multiprocessors, Data Prefetching |
30 | Gregory T. Byrd, Michael J. Flynn |
Evaluation of Communication Mechanisms in Invalidate-Based Shared Memory Multiprocessors. |
PCRCW |
1997 |
DBLP DOI BibTeX RDF |
|
30 | Junehwa Song, Asit Dan, Dinkar Sitaram |
Efficient Retrieval of Composite Multimedia Objects in the JINSIL Distributed System. |
SIGMETRICS |
1997 |
DBLP DOI BibTeX RDF |
|
30 | Shlomit S. Pinter, Adi Yoaz |
Tango: A Hardware-Based Data Prefetching Technique for Superscalar Processors. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
LRU mechanism, SPEC92 benchmark, Tango, base line architecture, hardware-based data prefetching technique, memory reference instructions, program progress graph, performance, parallel processing, instruction level parallelism, simulation results, superscalar processors, branch target buffer, instruction prefetching, hardware resources, slack time |
30 | Dean M. Tullsen, Susan J. Eggers |
Effective Cache Prefetching on Bus-Based Multiprocessors |
ACM Trans. Comput. Syst. |
1995 |
DBLP DOI BibTeX RDF |
bus-based multiprocessors, memory latency hiding, false sharing, cache prefetching |
30 | Vijay Karamcheti, Andrew A. Chien |
A Comparison of Architectural Support for Messaging in the TMC CM-5 and the Cray T3D. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 575 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ >>] |
|