|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 11369 occurrences of 4563 keywords
|
|
|
Results
Found 26106 publication records. Showing 26106 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
67 | Srimat T. Chakradhar, Vijay Gangaram, Steven G. Rothweiler |
Deriving Signal Constraints to Accelerate Sequential Test Generation. |
VLSI Design |
1997 |
DBLP DOI BibTeX RDF |
sequential test generation algorithm acceleration, signal constraints, large sequential circuits, deterministic sequential test generation, signal constraint computation technique, line probabilities, line justification techniques, benchmark sequential circuits, test generation time reduction, production sequential circuits, 3-valued signal probabilities, fault diagnosis, fault coverage, symbolic simulation, truth table |
62 | Peter Wegner |
Granularity of modules in object-based concurrent systems. |
OOPSLA/ECOOP Workshop on Object-based Concurrent Programming |
1988 |
DBLP DOI BibTeX RDF |
|
56 | Hye-Chung Kum, Joong Hyuk Chang, Wei Wang 0010 |
Sequential Pattern Mining in Multi-Databases via Multiple Alignment. |
Data Min. Knowl. Discov. |
2006 |
DBLP DOI BibTeX RDF |
approximate sequential pattern, mining local pattern, global sequential pattern, sequential patterns, data mining algorithm, multiple alignment |
52 | Soumitra Bose, Vishwani D. Agrawal |
Sequential logic path delay test generation by symbolic analysis. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
sequential logic path delay test generation, two-vector test sequences, non-scan sequential circuit, multivalued algebras, three-vector test sequences combinational logic, value propagation rule, ISCAS89 benchmarks, fault diagnosis, logic testing, delays, Boolean functions, Boolean functions, finite state machines, finite state machines, sequential circuits, encoding, automatic testing, Binary Decision Diagrams, multivalued logic, sequential machines, symbolic analysis, combinational logic, state transitions |
50 | Unil Yun |
Analyzing Sequential Patterns in Retail Databases. |
J. Comput. Sci. Technol. |
2007 |
DBLP DOI BibTeX RDF |
sequential ws-confidence, weighted support affinity, data mining, sequential pattern mining |
48 | Hiroshi Date, Michinobu Nakao, Kazumi Hatayama |
A parallel sequential test generation system DESCARTES based on real-valued logic simulation. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
parallel sequential test generation system, DESCARTES, real-valued logic simulation, redundant fault identification program, algorithmic test generation program, ISCAS '89 benchmark sequential circuits, distributed processing environment oriented system, concurrent accelerative test generation, parallel algorithms, computational complexity, VLSI, fault diagnosis, logic testing, redundancy, design for testability, sequential circuits, logic CAD, VLSI design, stuck-at faults, automatic test generation, synchronous sequential circuits, automatic test software, test quality |
47 | Yanchang Zhao, Huaifeng Zhang, Longbing Cao, Chengqi Zhang, Hans Bohlscheid |
Mining Both Positive and Negative Impact-Oriented Sequential Rules from Transactional Data. |
PAKDD |
2009 |
DBLP DOI BibTeX RDF |
negative sequential rules, sequential pattern mining |
47 | Jing Lu 0001, Osei Adjei, Weiru Chen, Jun Liu |
Post Sequential Patterns Mining. |
Intelligent Information Processing |
2004 |
DBLP DOI BibTeX RDF |
Post Sequential Patterns Mining, Sequential Patterns Graph, Concurrent Branch Patterns, Structural Pattern |
47 | Yuri Gurevich |
Sequential abstract-state machines capture sequential algorithms. |
ACM Trans. Comput. Log. |
2000 |
DBLP DOI BibTeX RDF |
Turing's thesis, sequential ASM thesis, specification, abstract-state machine, executable specification, sequential algorithm |
45 | C. Rominger, Jean Claude Geffroy |
Hazard analysis of structured sequential systems. |
Annual Simulation Symposium |
1995 |
DBLP DOI BibTeX RDF |
structured sequential systems, time uncertainties, asynchronous sequential systems, nondeterministic phenomena, simulation method, structured systems, fault diagnosis, CAD, logic testing, timing, sequential circuits, logic CAD, asynchronous circuits, digital simulation, time analysis, circuit analysis computing, hazard analysis, asynchronous sequential logic |
45 | Wei Cui, Sen Wu 0001, Yuan Zhang, Lian-Chang Chen |
Algorithm of mining sequential patterns for web personalization services. |
Data Base |
2009 |
DBLP DOI BibTeX RDF |
contiguous sequential pattern mining, maximal frequent sequence, som, clustering, weight, web personalization |
45 | Binny S. Gill, Luis Angel D. Bathen |
Optimal multistream sequential prefetching in a shared cache. |
ACM Trans. Storage |
2007 |
DBLP DOI BibTeX RDF |
Adaptive prefetching, asynchronous prefetching, degree of prefetch, fixed prefetching, multistream read, optimal prefetching, prefetch wastage, prestaging, sequential prefetching, synchronous prefetching, trigger distance, cache pollution |
45 | Hideo Fujiwara |
A New Class of Sequential Circuits with Combinational Test Generation Complexity. |
IEEE Trans. Computers |
2000 |
DBLP DOI BibTeX RDF |
complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure |
43 | Roman Fric |
Measures on MV-algebras. |
Soft Comput. |
2002 |
DBLP DOI BibTeX RDF |
Semisimple M V -algebra, Initial sequential convergence, I -sequential M V -algebra, Sequential continuity, Absolutely sequentially closed object, Epireflection, Sequentially continuous measure, ?-completion, Extension of measures, Natural equivalence, Bold algebra, ?ukasiewicz tribe, Measurable map, Probability event, D -poset, Coproduct, Quantum structure, Observable, Duality, Measurable space |
43 | Debesh K. Das, Uttam K. Bhattacharya, Bhargab B. Bhattacharya |
Isomorph-redundancy in sequential circuits. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
isomorph-redundancy, reduced sequential machine, infinite family, VLSI, logic testing, redundancy, integrated circuit testing, design for testability, logic design, sequential circuits, sequential circuits, DFT, state diagram |
43 | Debesh Kumar Das, Bhargab B. Bhattacharya |
Does retiming affect redundancy in sequential circuits? |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
combinational redundancy, sequential redundancy, fault diagnosis, logic testing, timing, redundancy, test generation, design for testability, sequential circuits, sequential circuit, fault, retiming, logic optimization, operation speed |
43 | Gregory W. Cook, Edward J. Delp |
Multiresolution sequential edge linking. |
ICIP |
1995 |
DBLP DOI BibTeX RDF |
multiresolution sequential edge linking, sequential search algorithm, multiresolution image pyramid, global edge information, image edges, sequential search parameters, edge detection, edge detection, parameter estimation, parameter estimation, search problems, image resolution |
43 | Jason P. Hurst, Nick Kanopoulos |
Flip-flop sharing in standard scan path to enhance delay fault testing of sequential circuits. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
flip-flop sharing, standard scan path, standard scan path design, two-vector test sets, VLSI, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, sequential circuits, flip-flops, integrated logic circuits, sequential machines, delay fault testing |
43 | Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez |
Diagnostic of path and gate delay faults in non-scan sequential circuits. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
nonscan sequential circuits, self-masking identification, fault diagnosis, fault diagnosis, logic testing, delays, integrated circuit testing, sequential circuits, automatic testing, integrated logic circuits, path delay faults, synchronous sequential circuits, path tracing, gate delay faults |
43 | Kim L. Boyer, Muhammad J. Mirza, Gopa Ganguly |
The Robust Sequential Estimator: A General Approach and its Application to Surface Organization in Range Data. |
IEEE Trans. Pattern Anal. Mach. Intell. |
1994 |
DBLP DOI BibTeX RDF |
robust sequential estimator, surface organization, autonomous statistically robust sequential function approximation, partially occluded surfaces, noisy outlier-ridden functional range data, sequential least squares, surface characterization techniques, surface hypotheses, noisy depth map, unknown 3-D scene, seed points, modified Akaike Information Criterion, prune stage, coincidental surface alignment, weighted voting scheme, 5/spl times/5 decision window, ambiguous point, majority consensus, image segmentation, information theory, decision theory, parameterization, function approximation, estimation theory, range data, parameter space |
43 | Mark B. Josephs |
Models for Data-Flow Sequential Processes. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
42 | Jian Pei, Jiawei Han 0001, Behzad Mortazavi-Asl, Jianyong Wang 0001, Helen Pinto, Qiming Chen, Umeshwar Dayal, Meichun Hsu |
Mining Sequential Patterns by Pattern-Growth: The PrefixSpan Approach. |
IEEE Trans. Knowl. Data Eng. |
2004 |
DBLP DOI BibTeX RDF |
scalability, performance analysis, sequential pattern, frequent pattern, Data mining algorithm, transaction database, sequence database |
42 | Hideo Fujiwara |
A New Definition and a New Class of Sequential Circuits with Combinational Test Generation Complexity. |
VLSI Design |
2000 |
DBLP DOI BibTeX RDF |
complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure |
41 | Xiang Wan, Guohui Lin |
CISA: Combined NMR Resonance Connectivity Information Determination and Sequential Assignment. |
IEEE ACM Trans. Comput. Biol. Bioinform. |
2007 |
DBLP DOI BibTeX RDF |
NMR sequential resonance assignment, spin system, spin system sequential connectivity, spin system residual signature, spin system assignment |
40 | Nikola S. Subotic, Brian J. Thelen |
Sequential processing of SAR phase history data for rapid detection. |
ICIP |
1995 |
DBLP DOI BibTeX RDF |
radar target recognition, radar detection, SAR phase history data, rapid detection, Wald sequential hypothesis test, 2D autoregressive signal model, cultural objects, sequential probability ratio decision statistic, Brownian motion process, probability, synthetic aperture radar, synthetic aperture radar, radar imaging, drift, Brownian motion, autoregressive processes, sequential processing |
40 | Debesh K. Das, Bhargab B. Bhattacharya |
Testable design of non-scan sequential circuits using extra logic. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
nonscan sequential circuits, sequentially redundant faults, multiple stuck-at-fault model, augmented logic, performance evaluation, logic testing, redundancy, test generation, design for testability, logic design, sequential circuits, logic synthesis, synchronous sequential circuits, benchmark circuits, testable design |
40 | Tan-Li Chou, Kaushik Roy 0001 |
Statistical estimation of sequential circuit activity. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
Markov chain theory, sequential circuit activity, sequential logic circuits, signal activity, transient problem, sequential circuits, logic CAD, Monte Carlo, Monte Carlo methods, Monte Carlo technique |
40 | Alan D. Marrs |
In-Situ Ellipsometry Solutions Using Sequential Monte Carlo. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Petar M. Djuric |
Sequential Estimation of Signals under Model Uncertainty. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Nando de Freitas, Christophe Andrieu, Pedro A. d. F. R. Højen-Sørensen, M. Niranjan, A. Gee |
Sequential Monte Carlo Methods for Neural Networks. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Arnaud Doucet, Nando de Freitas, Neil J. Gordon |
An Introduction to Sequential Monte Carlo Methods. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Jun S. Liu, Rong Chen, Tanya Logvinenko |
A Theoretical Framework for Sequential Importance Sampling with Resampling. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Christophe Andrieu, Arnaud Doucet, Elena Punskaya |
Sequential Monte Carlo Methods for Optimal Filtering. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
40 | Niclas Bergman |
Posterior Cramér-Rao Bounds for Sequential Estimation. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
39 | Veera Boonjing, Panida Songram |
Efficient Algorithms for Mining Closed Multidimensional Sequential Patterns. |
FSKD (2) |
2007 |
DBLP DOI BibTeX RDF |
|
38 | Yongxin Tong, Zhao Li, Dan Yu, Shilong Ma, Zhiyuan Cheng 0004, Ke Xu 0001 |
Mining Compressed Repetitive Gapped Sequential Patterns Efficiently. |
ADMA |
2009 |
DBLP DOI BibTeX RDF |
repetitive gapped sequential pattern, compressing frequent patterns |
37 | Themis P. Exarchos, Markos G. Tsipouras, Costas Papaloukas, Dimitrios I. Fotiadis |
An optimized sequential pattern matching methodology for sequence classification. |
Knowl. Inf. Syst. |
2009 |
DBLP DOI BibTeX RDF |
Sequential pattern matching, Optimization, Sequential pattern mining, Sequence classification |
37 | Min-Lun Chuang, Chun-Yao Wang |
Synthesis of reversible sequential elements. |
ACM J. Emerg. Technol. Comput. Syst. |
2008 |
DBLP DOI BibTeX RDF |
sequential elements, sequential circuits, Reversible logic |
37 | Edward David Moreno Ordonez, Sergio Takeo Kofuji |
Performance evaluation of the fixed sequential prefetching on a bus-based multiprocessor: preliminary results. |
ISPAN |
1996 |
DBLP DOI BibTeX RDF |
fixed sequential prefetching, bus-based multiprocessor, sequential prefetching, OBL policy, performance evaluation, performance evaluation, Petri nets, Petri nets, shared memory systems, shared memory systems, cache storage, data prefetching |
37 | José Monteiro 0001, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh |
Optimization of combinational and sequential logic circuits for low power using precomputation. |
ARVLSI |
1995 |
DBLP DOI BibTeX RDF |
sequential logic circuits, low power optimisation, logic optimization technique, precomputation architectures, logic synthesis methods, transmission gates, transparent latches, switching activity reduction, power dissipation reduction, VLSI, logic design, sequential circuits, combinational circuits, integrated circuit design, CMOS logic circuits, circuit optimisation, precomputation, combinational logic circuits, clock cycle |
37 | Samir Lejmi, Bozena Kaminska, Bechir Ayari |
Retiming, resynthesis, and partitioning for the pseudo-exhaustive testing of sequential circuits. |
VTS |
1995 |
DBLP DOI BibTeX RDF |
segmentation cells, segmentation edges, logic testing, partitioning, timing, sequential circuits, sequential circuits, iterative methods, circuit analysis computing, retiming, iterative algorithm, circuit optimisation, logic partitioning, logic optimization, resynthesis, synchronous circuits, pseudo-exhaustive testing |
36 | A. Djerrah, Bertrand Le Cun, Van-Dat Cung, Catherine Roucairol |
Bob++: Framework for Solving Optimization Problems with Branch-and-Bound methods. |
HPDC |
2006 |
DBLP DOI BibTeX RDF |
INRIA Apache project, Bob++ framework, optimization problem solving, sequential search algorithm, parallel search algorithm, SMP machines, global priority queue, sequential data structure encapsulation, Bob++ library, Athapascan parallel programming interface, C++ library, dynamic programming, sequential machines, cluster architecture, branch-and-bound method, divide-and-conquer method, grid architecture, load balancing strategy |
36 | Tapan J. Chakraborty, Vishwani D. Agrawal |
Design for high-speed testability of stuck-at faults. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay |
36 | Robert Kramer, Rajiv Gupta 0001, Mary Lou Soffa |
The Combining DAG: A Technique for Parallel Data Flow Analysis. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
parallel data flow analysis, sequential data flow algorithm, parallel data flow algorithms, rapid data flow problems, cyclic structures, combining directed acyclic graph, parallelized sequential algorithm, performance, parallel algorithms, parallel algorithm, parallel processing, parallel programming, software tools, multiprocessors, directed graphs, program compilers, DAG, parallel compilers, control flow graph, sequential algorithm |
36 | Michael J. Butler, C. A. R. Hoare, Carla Ferreira 0001 |
A Trace Semantics for Long-Running Transactions. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Ad M. G. Peeters |
Implementation of Handshake Components. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Stephen D. Brookes |
Retracing the Semantics of CSP. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Carroll Morgan |
Of Probabilistic Wp and SP-and Compositionality. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
36 | Arun Balakrishnan, Srimat T. Chakradhar |
Software transformations for sequential test generation. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
sequential test generation, high fault coverage test sets, testability properties, inverse mapping, software engineering, logic testing, timing, design for testability, sequential circuits, sequential circuits, DFT, software model, software transformations |
36 | Tan-Li Chou, Kaushik Roy 0001 |
Estimation of sequential circuit activity considering spatial and temporal correlations. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
sequential circuit activity estimation, signal activity, internal nodes, sequential logic circuits, logic signals, ESTG, extended state transition graph, exact signal probabilities, large circuits, state logic, logic simulation results, graph theory, finite state machines, finite state machine, sequential circuits, spatial correlations, circuit switching, switching activities, approximate method, temporal correlations |
36 | O. A. Petlin, Stephen B. Furber |
Scan testing of asynchronous sequential circuits. |
Great Lakes Symposium on VLSI |
1995 |
DBLP DOI BibTeX RDF |
micropipeline design style, combinational block, state holding elements, standard test generation techniques, VLSI, logic testing, delays, integrated circuit testing, logic design, sequential circuits, asynchronous circuits, integrated logic circuits, delay faults, boundary scan testing, scan testing, single stuck-at faults, asynchronous sequential logic, asynchronous sequential circuits |
36 | Changhai Zhang, Kongfa Hu, Zhuxi Chen, Ling Chen 0005, Yisheng Dong |
ApproxMGMSP: A Scalable Method of Mining Approximate Multidimensional Sequential Patterns on Distributed System. |
FSKD (2) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Panida Songram, Veera Boonjing, Sarun Intakosum |
Closed Multidimensional Sequential Pattern Mining. |
ITNG |
2006 |
DBLP DOI BibTeX RDF |
multidimensional pattern mining, closed pattern mining, data mining |
36 | Loganathan Lingappan, Niraj K. Jha |
Improving the Performance of Automatic Sequential Test Generation by Targeting Hard-to-Test Faults. |
VLSI Design |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Minimum-Area Sequential Budgeting for FPGA. |
ICCAD |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Julien Blanchard 0001, Fabrice Guillet, Régis Gras |
Assessing the interestingness of temporal rules with Sequential Implication Intensity. |
Statistical Implicative Analysis |
2008 |
DBLP DOI BibTeX RDF |
Interestingness Measures for Sequential Rules, Rule Significance, Temporal Data Mining, Event Sequences |
35 | Weimin Ouyang, Qinhua Huang |
Mining Direct and Indirect Fuzzy Sequential Patterns in Large Transaction Databases. |
ICIC (3) |
2007 |
DBLP DOI BibTeX RDF |
Fuzzy logic, Sequential pattern, Indirect |
35 | Jen-Peng Huang, Guo-Cheng Lan, Huang-Cheng Kuo |
A Gradational Reduction Approach for Mining Sequential Patterns. |
IEA/AIE |
2007 |
DBLP DOI BibTeX RDF |
data mining, algorithm, sequential patterns |
35 | Unil Yun |
Mining Sequential Support Affinity Patterns with Weight Constraints. |
ICDCIT |
2006 |
DBLP DOI BibTeX RDF |
support affinity pattern, data mining, sequential pattern mining |
35 | Christie I. Ezeife, Yi Lu |
Mining Web Log Sequential Patterns with Position Coded Pre-Order Linked WAP-Tree. |
Data Min. Knowl. Discov. |
2005 |
DBLP DOI BibTeX RDF |
WAP-tree mining, pre-order linkage, position codes, apriori techniques, Web usage mining, sequential patterns |
35 | Ming-Yen Lin, Suh-Yin Lee |
Efficient mining of sequential patterns with time constraints by delimited pattern growth. |
Knowl. Inf. Syst. |
2005 |
DBLP DOI BibTeX RDF |
Pattern-growth, Data mining, Time constraint, Sequential patterns, Sequence mining |
35 | Marc Plantevit, Yeow Wei Choong, Anne Laurent, Dominique Laurent 0001, Maguelonne Teisseire |
M2SP: Mining Sequential Patterns Among Several Dimensions. |
PKDD |
2005 |
DBLP DOI BibTeX RDF |
Multidimensional Rules, Data Mining, Sequential Patterns |
35 | Shuai Ma 0001, Shiwei Tang, Dongqing Yang, Tengjiao Wang 0003, Chanjun Yang |
Incremental Maintenance of Discovered Mobile User Maximal Moving Sequential Patterns. |
DASFAA |
2004 |
DBLP DOI BibTeX RDF |
Moving sequential pattern, Data mining, Incremental maintenance |
35 | Ruey-Shun Chen, Gwo-Hshiung Tzeng, C. C. Chen, Yi-Chung Hu |
Discovery of Fuzzy Sequential Patterns for Fuzzy Partitions in Quantitative Attributes. |
AICCSA |
2001 |
DBLP DOI BibTeX RDF |
Fuzzy sequential patterns, Data mining, Database, Knowledge acquisition, Fuzzy partitions |
35 | Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara |
Sequential Test Generation Based on Circuit Pseudo-Transformation. |
Asian Test Symposium |
1997 |
DBLP DOI BibTeX RDF |
acyclic structure, circuit pseudo-transformations, test generation, Sequential circuits, balanced structure |
34 | Ying Chen, Karthik Ranganathan, Vasudev V. Pai, David J. Lilja, Kia Bazargan |
A Novel Memory Structure for Embedded Systems: Flexible Sequential and Random Access Memory. |
J. Comput. Sci. Technol. |
2005 |
DBLP DOI BibTeX RDF |
sequential access buffer, media benchmark, flexible sequential and random access memory, on-chip memory |
34 | Ernesto Jiménez, Antonio Fernández 0001, Vicent Cholvi |
A Parametrized Algorithm that Implements Sequential, Causal, and Cache Memory Consistency. |
PDP |
2002 |
DBLP DOI BibTeX RDF |
Distributed shared memory (DSM), causal and cache consistency models, fast memory operations, full replication of data, invalidation and propagation of data, causal and cache algorithms, sequential, sequential |
34 | Li Shen 0002 |
Genetic Algorithm Based Test Generation for Sequential Circuits. |
Asian Test Symposium |
1999 |
DBLP DOI BibTeX RDF |
sequential depth analysis, dynamic testability measure, genetic algorithm, test generation, Sequential testing |
34 | Richard M. Chou, Kewal K. Saluja |
Sequential Circuit Testing: From DFT to SFT. |
VLSI Design |
1997 |
DBLP DOI BibTeX RDF |
design-for-testability methods, SFT techniques, large sequential circuits, logic testing, automatic test pattern generation, ATPG, synthesis-for-testability, sequential circuit testing, DFT techniques |
34 | Sujit Dey, Srimat T. Chakradhar |
Design of testable sequential circuits by repositioning flip-flops. |
J. Electron. Test. |
1995 |
DBLP DOI BibTeX RDF |
cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault |
33 | James P. McDermott, Gutti Jogesh Babu, John C. Liechty, Dennis K. J. Lin |
Data skeletons: simultaneous estimation of multiple quantiles for massive streaming datasets with applications to density estimation. |
Stat. Comput. |
2007 |
DBLP DOI BibTeX RDF |
Sequential quantile estimation, Sequential density estimation, Online algorithms, Cubic spline, Sequential algorithms |
33 | Yasumasa Hayashi, Takashi Matsubara 0002, Yoshiaki Koga |
Implementation and evaluation for dependable bus control using CPLD. |
PRDC |
2000 |
DBLP DOI BibTeX RDF |
phase control, dependable bus control, bus systems, dependable bus operations, bus phase control, reliability, dependability, sequential circuits, system buses, CPLD, asynchronous sequential logic, asynchronous sequential circuit |
33 | Ranko Lazic 0001, Thomas Christopher Newcomb, A. W. Roscoe 0001 |
On Model Checking Data-Independent Systems with Arrays with Whole-Array Operations. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | David May 0001 |
CSP, occam and Transputers. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Peter H. Welch, Fred R. M. Barnes |
Communicating Mobile Processes. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Sadie Creese |
Industrial Strength CSP: Opportunities and Challenges in Model-Checking. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Peter Y. A. Ryan |
Shedding Light on Haunted Corners of Information Security. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | A. W. Roscoe 0001 |
Seeing Beyond Divergence. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Jeff Magee, Jeff Kramer |
Model-Based Design of Concurrent Programs. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Michael Goldsmith |
Operational Semantics for Fun and Profit. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Jonathan Lawrence |
Practical Application of CSP and FDR to Software Design. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Mike Reed |
Order, Topology, and Recursion Induction in CSP. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Steve A. Schneider, Rob Delicata |
Verifying Security Protocols: An Application of CSP. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Jifeng He 0001 |
Linking Theories of Concurrency. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | C. A. R. Hoare |
Process Algebra: A Unifying Approach. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Jan Peleska 0001 |
Applied Formal Methods - From CSP to Executable Hybrid Specifications. |
25 Years Communicating Sequential Processes |
2004 |
DBLP DOI BibTeX RDF |
|
33 | Jane Liu, Mike West |
Combined Parameter and State Estimation in Simulation-Based Filtering. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Anuj Srivastava, Aaron D. Lanterman, Ulf Grenander, Marc Loizeaux, Michael I. Miller |
Monte Carlo Techniques for Automated Target Recognition. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Michael K. Pitt, Neil Shephard |
Auxiliary Variable Based Particle Filters. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Erik Bølviken, Geir Storvik |
Deterministic and Stochastic Particle Filters in State-Space Models. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Markus Hürzeler, Hans R. Künsch |
Approximating and Maximising the Likelihood for a General State-Space Model. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Genshiro Kitagawa, Seisho Sato |
Monte Carlo Smoothing and Self-Organising State-Space Model. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Photis Stavropoulos, D. M. Titterington |
Improved Particle Filters and Smoothing. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Shaun McGinnity, George W. Irwin |
Manoeuvring Target Tracking Using a Multiple-Model Bootstrap Filter. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Kevin Murphy 0002, Stuart Russell 0001 |
Rao-Blackwellised Particle Filtering for Dynamic Bayesian Networks. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Andrew Blake 0001, Michael Isard, John MacCormick |
Statistical Models of Visual Shape and Motion. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Tomoyuki Higuchi |
Self-Organizing Time Series Model. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Christian Musso, Nadia Oudjane, François Le Gland |
Improving Regularised Particle Filters. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Daphne Koller, Uri Lerner |
Sampling in Factored Dynamic Systems. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
33 | Carlo Berzuini, Walter R. Gilks |
RESAMPLE-MOVE Filtering with Cross-Model Jumps. |
Sequential Monte Carlo Methods in Practice |
2001 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 26106 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|