|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1267 occurrences of 744 keywords
|
|
|
Results
Found 3383 publication records. Showing 3383 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
123 | Hechmi Khlifi, Jean-Charles Grégoire |
Estimation and Removal of Clock Skew From Delay Measures. |
LCN |
2004 |
DBLP DOI BibTeX RDF |
clock skew, delay measurement |
121 | Chung-Wen Albert Tsao, Cheng-Kok Koh |
UST/DME: a clock tree router for general skew constraints. |
ACM Trans. Design Autom. Electr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
Useful Skew, feasible skew range, incremental skew scheduling, merging and embedding, merging region, clock tree |
102 | Chuan Lin 0002, Hai Zhou 0001 |
Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
delay padding, prescribed skew domains, optimal skew scheduling algorithm, domain assignment, sequential circuit, flip-flops, memory elements, clock period, clock skew scheduling |
89 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Skew-programmable clock design for FPGA and skew-aware placement. |
FPGA |
2005 |
DBLP DOI BibTeX RDF |
clock architecture, skew optimization, placement |
84 | Chiu L. Yu, Yuan Yan Tang, Ching Y. Suen |
Document skew detection based on the fractal and least squares method. |
ICDAR |
1995 |
DBLP DOI BibTeX RDF |
document skew detection, totally unconstrained document, skew angle, skewed text blocks, saw-tooth algorithm, fractal, least squares approximations, least squares method, document segmentation, robust algorithm |
79 | Bao Liu 0001, Andrew B. Kahng, Xu Xu 0001, Jiang Hu, Ganesh Venkataraman |
A Global Minimum Clock Distribution Network Augmentation Algorithm for Guaranteed Clock Skew Yield. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
|
79 | David M. Harris, Sam Naffziger |
Statistical clock skew modeling with data delay variations. |
IEEE Trans. Very Large Scale Integr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
79 | David L. Harris, Mark Horowitz, Dean Liu |
Timing analysis including clock skew. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1999 |
DBLP DOI BibTeX RDF |
|
78 | Adnan Amin, Stephen Fischer |
A Document Skew Detection Method Using the Hough Transform. |
Pattern Anal. Appl. |
2000 |
DBLP DOI BibTeX RDF |
Hough transform, Document analysis, Connected components, Least square method, Skew detection, Projection profile |
77 | Xinjie Wei, Yici Cai, Xianlong Hong |
Physical aware clock skew rescheduling. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
skew rescheduling, process variations, clock skew |
76 | Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, Chung-Wen Albert Tsao |
Bounded-skew clock and Steiner routing under Elmore delay. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
bounded-skew, pathlength delay, VLSI, global routing, Elmore delay, zero-skew, zero-skew, clock routing, routing trees |
76 | Ahmed El-Amawy |
Clocking Arbitrarily Large Computing Structures Under Constant Skew Bound. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
constant skew bound, arbitrarily large computing structures, communicating cells, skew upper bound, maximum clocking rate, 2-D mesh framework, node design, nonplanar structures, parallel architectures, stability, hypercubes, network topology, synchronisation, hypercube networks, clocks, clock skew, global synchronization |
74 | Ameer Abdelhadi, Ran Ginosar, Avinoam Kolodny, Eby G. Friedman |
Timing-driven variation-aware nonuniform clock mesh synthesis. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
clock mesh synthesis, non-tree clock networks, vlsi cad, power, process variations, physical design, clock skew, clock distribution |
72 | Joe G. Xi, Wayne Wei-Ming Dai |
Jitter-tolerant clock routing in two-phase synchronous systems. |
ICCAD |
1996 |
DBLP DOI BibTeX RDF |
Deferred-Merge Embedding framework, clock jitter, clock tree cost, jitter-tolerance, jitter-tolerant clock routing, near-zero skew, nonoverlapping interval, safety margin, system operating conditions, two-phase clocking, two-phase jitter-tolerant useful-skew tree, two-phase synchronous systems, simulated annealing, manufacturing, jitter, zero skew |
72 | Guobiao Weng, Lei Hu |
Some results on skew Hadamard difference sets. |
Des. Codes Cryptogr. |
2009 |
DBLP DOI BibTeX RDF |
AMS Classification 05B10 |
72 | Yu Chen 0005, Andrew B. Kahng, Gang Qu 0001, Alexander Zelikovsky |
The associative-skew clock routing problem. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
72 | José Luis Neves, Eby G. Friedman |
Buffered Clock Tree Synthesis with Non-Zero Clock Skew Scheduling for Increased Tolerance to Process Parameter Variations. |
J. VLSI Signal Process. |
1997 |
DBLP DOI BibTeX RDF |
|
72 | Joe G. Xi, Wayne Wei-Ming Dai |
Useful-Skew Clock Routing with Gate Sizing for Low Power Design. |
J. VLSI Signal Process. |
1997 |
DBLP DOI BibTeX RDF |
|
70 | Fengying Xie, Zhi-Guo Jiang, Lei Wang |
Skew Detection Algorithm for Form Document Based on Elongate Feature. |
EMMCVPR |
2007 |
DBLP DOI BibTeX RDF |
form document, directional region growing, connected region, elongate function, skew detection |
70 | Baris Taskin, Ivan S. Kourtev |
Delay insertion method in clock skew scheduling. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
delay insertion, re-convergent paths, optimization, linear programming, clock skew |
69 | Su S. Chen, Robert M. Haralick, Ihsin T. Phillips |
Automatic text skew estimation in document images. |
ICDAR |
1995 |
DBLP DOI BibTeX RDF |
text skew angle, text skew estimation, document image data set, optimal parameter settings, SUN Sparc 10 machines, document image processing, document images, document image |
64 | Delphine Boucher, Felix Ulmer |
Codes as Modules over Skew Polynomial Rings. |
IMACC |
2009 |
DBLP DOI BibTeX RDF |
|
64 | Liang Junjuan, Guoxin Tan |
An Efficient Algorithm for Skew-Correction of Document Image Based on Cyclostyle Matching. |
CSSE (5) |
2008 |
DBLP DOI BibTeX RDF |
|
64 | Michael Makridis, Nikos A. Nikolaou, Nikos Papamarkos |
A New Technique for Global and Local Skew Correction in Binary Documents. |
ACIVS |
2007 |
DBLP DOI BibTeX RDF |
|
64 | Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino |
Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective. |
PATMOS |
2006 |
DBLP DOI BibTeX RDF |
|
64 | Yuxiang Zheng, Jiang Li, Jin Liu, Qian Yu |
Automatic within-pair-skew compensation for 6.25 Gbps differential links using wide-bandwidth delay units. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
64 | Zhaoyun Xing, Prithviraj Banerjee |
A parallel algorithm for zero skew clock tree routing. |
ISPD |
1998 |
DBLP DOI BibTeX RDF |
|
63 | Yu Xu, Pekka Kostamaa, Xin Zhou, Liang Chen |
Handling data skew in parallel joins in shared-nothing systems. |
SIGMOD Conference |
2008 |
DBLP DOI BibTeX RDF |
parallel joins, data skew, shared nothing |
63 | Shinya Abe, Masanori Hashimoto, Takao Onoye |
Clock Skew Evaluation Considering Manufacturing Variability in Mesh-Style Clock Distribution. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
mesh-style clock distribution, clock skew, manufacturing variability |
63 | Petr Slavík, Venu Govindaraju |
Equivalence of Different Methods for Slant and Skew Corrections in Word Recognition Applications. |
IEEE Trans. Pattern Anal. Mach. Intell. |
2001 |
DBLP DOI BibTeX RDF |
slant normalization, skew normalization, handwriting recognition, Image preprocessing |
63 | J. L. Knighten, N. W. Smith, L. O. Hoeft, J. T. DiBene II |
EMI Common-Mode Current Dependence on Delay Skew Imbalance in High Speed Differential Transmission Lines Operating at 1 Gigabit/second Data Rates. |
ISQED |
2000 |
DBLP DOI BibTeX RDF |
radiated emissions, common-mode current, differential transmission line, skew, EMI |
62 | Xuegong Deng, Xuemei Deng, Ilkka Havukkala |
Strong GC and AT Skew Correlation in Chicken Genome. |
PRIB |
2007 |
DBLP DOI BibTeX RDF |
AT/GC skew, skew correlation, chicken genome, visualization, 2D, chromosome |
60 | Chunchen Liu, Junjie Su, Yiyu Shi 0001 |
Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. |
ICCD |
2008 |
DBLP DOI BibTeX RDF |
|
60 | Mohamed Nekili, Guy Bois, Yvon Savaria |
Pipelined H-trees for high-speed clocking of large integrated systems in presence of process variations. |
IEEE Trans. Very Large Scale Integr. Syst. |
1997 |
DBLP DOI BibTeX RDF |
|
60 | Ari D. Gross, Terrance E. Boult |
Analyzing skewed symmetries. |
Int. J. Comput. Vis. |
1994 |
DBLP DOI BibTeX RDF |
|
57 | Yu-Chien Kao, Hsuan-Ming Chou, Kun-Ting Tsai, Shih-Chieh Chang |
An efficient phase detector connection structure for the skew synchronization system. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
adjustable delay buffer, phase detector, post-silicon tuning |
57 | Xinjie Wei, Yici Cai, Xianlong Hong |
Clock Skew Scheduling Under Process Variations. |
ISQED |
2006 |
DBLP DOI BibTeX RDF |
|
57 | Masanori Hashimoto, Tomonori Yamamoto, Hidetoshi Onodera |
Statistical Analysis of Clock Skew Variation in H-Tree Structure. |
ISQED |
2005 |
DBLP DOI BibTeX RDF |
|
57 | Aseem Agarwal, Vladimir Zolotov, David T. Blaauw |
Statistical clock skew analysis considering intradie-process variations. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
57 | Xiaohong Jiang 0001, Susumu Horiguchi |
Statistical skew modeling for general clock distribution networks in presence of process variations. |
IEEE Trans. Very Large Scale Integr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
|
57 | Alexander Zelikovsky, Ion I. Mandoiu |
Practical approximation algorithms for zero- and bounded-skew trees. |
SODA |
2001 |
DBLP BibTeX RDF |
|
57 | U. Pal 0001, Mandar Mitra, B. B. Chaudhuri 0001 |
Multi-Skew Detection of Indian Script Documents. |
ICDAR |
2001 |
DBLP DOI BibTeX RDF |
|
57 | Sachin S. Sapatnekar, Rahul B. Deokar |
Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1996 |
DBLP DOI BibTeX RDF |
|
55 | Ashutosh Chakraborty, David Z. Pan |
Skew management of NBTI impacted gated clock trees. |
ISPD |
2010 |
DBLP DOI BibTeX RDF |
clock skew, clock gating, NBTI |
55 | Po-Yuan Chen, Kuan-Hsien Ho, TingTing Hwang |
Skew-aware polarity assignment in clock tree. |
ACM Trans. Design Autom. Electr. Syst. |
2009 |
DBLP DOI BibTeX RDF |
peak current, polarity assignment, power/ground noise, Clock skew, clock tree |
55 | Tsung-I Lin, Jack C. Lee, Wan J. Hsieh |
Robust mixture modeling using the skew t distribution. |
Stat. Comput. |
2007 |
DBLP DOI BibTeX RDF |
EM-type algorithms, Outlying observations, PX-EM algorithm, Skew t mixtures, Truncated normal, Maximum likelihood |
55 | Muhammad Sarfraz 0001, Sabri A. Mahmoud, Zeehasham Rasheed |
On Skew Estimation and Correction of Text. |
CGIV |
2007 |
DBLP DOI BibTeX RDF |
skew estimation, tilt correction, Image processing, character recognition, connected component |
55 | Zhengtao Yu 0002, Marios C. Papaefthymiou, Xun Liu |
Skew spreading for peak current reduction. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
low power, clock skew, clock scheduling |
55 | Chia-Chun Tsai, Jan-Ou Wu, Chung-Chieh Kuo, Trong-Yen Lee, Wen-Ta Lee |
Zero-Skew Driven for RLC Clock Tree Construction in SoC. |
ICITA (1) |
2005 |
DBLP DOI BibTeX RDF |
RLC delay model, Upward propagation, SoC, Clock tree, Zero skew |
55 | Andrew V. Goldberg, Alexander V. Karzanov |
Maximum skew-symmetric flows and matchings. |
Math. Program. |
2004 |
DBLP DOI BibTeX RDF |
skew-symmetric graph, matching, network flow, b-matching |
55 | Rafael Dueire Lins, Bruno Tenório Ávila |
A New Algorithm for Skew Detection in Images of Documents. |
ICIAR (2) |
2004 |
DBLP DOI BibTeX RDF |
Rotated Images, Document Image Analysis, Skew detection |
55 | Jeng-Liang Tsai, Tsung-Hao Chen, Charlie Chung-Ping Chen |
Optimal minimum-delay/area zero-skew clock tree wire-sizing in pseudo-polynomial time. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
?-optimal, incremental refinement, pseudo-polynomial, clock tree, wire-sizing, zero-skew |
55 | Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang |
Optimal Timing for Skew-Tolerant High-Speed Domino Logic. |
ISVLSI |
2002 |
DBLP DOI BibTeX RDF |
keeper, optimal timing, noise, skew, domino logic, dynamic circuit |
55 | Min Zhao 0001, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal |
Worst case clock skew under power supply variations. |
Timing Issues in the Specification and Synthesis of Digital Systems |
2002 |
DBLP DOI BibTeX RDF |
clock skew, power supply noise, clock network |
55 | Joel L. Wolf, Daniel M. Dias, Philip S. Yu |
A Parallel Sort Merge Join Algorithm for Managing Data Skew. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
data skew management, transfer phase, sort phase, parallel sort merge join algorithm, scheduling phase, join phases, parallelizable optimization algorithm, parallel algorithms, load balancing, relational databases, distributed databases, sorting, relational algebra, merging, divide-and-conquer, Zipf-like distribution, multiple processors |
55 | Joel L. Wolf, Philip S. Yu, John Turek, Daniel M. Dias |
A Parallel Hash Join Algorithm for Managing Data Skew. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
parallel hash join algorithm, hierarchical hashing, heuristicoptimization, join column, scheduling, parallel algorithms, load balancing, query processing, resource allocation, relational databases, relational databases, combinatorial optimization, database theory, complex queries, data skew, hash joins, Zipf-like distribution |
54 | Kui Wang, Lian Duan, Xu Cheng |
ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
back-annotation, skew scheduling, logic synthesis, clock skew |
52 | Uday Padmanabhan, Janet Meiling Wang, Jiang Hu |
Robust Clock Tree Routing in the Presence of Process Variations. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
52 | Ashok Narasimhan, Ramalingam Sridhar |
A low power and low area active clock deskewing technique for sub-90nm technologies. |
SoCC |
2008 |
DBLP DOI BibTeX RDF |
|
52 | Gustavo R. Wilke, Rajeev Murgai |
Design and Analysis of "Tree+Local Meshes" Clock Architecture. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
52 | Uday Padmanabhan, Janet Meiling Wang, Jiang Hu |
Statistical clock tree routing for robustness to process variations. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
routing, robustness, process variations, clock tree |
49 | Cheng-Yu Wang, Wai-Kei Mak |
Signal skew aware floorplanning and bumper signal assignment technique for flip-chip. |
ASP-DAC |
2009 |
DBLP DOI BibTeX RDF |
|
49 | Javad Sadri, Mohamed Cheriet |
A New Approach for Skew Correction of Documents Based on Particle Swarm Optimization. |
ICDAR |
2009 |
DBLP DOI BibTeX RDF |
|
49 | Jon-Lark Kim, Patrick Solé |
Skew Hadamard designs and their codes. |
Des. Codes Cryptogr. |
2008 |
DBLP DOI BibTeX RDF |
94B25, AMS Classifications 05B20 |
49 | Takayuki Obata, Mineo Kaneko |
Concurrent skew and control step assignments in RT-level datapath synthesis. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
49 | Ganesh Venkataraman, Jiang Hu, Frank Liu 0001 |
Integrated Placement and Skew Optimization for Rotary Clocking. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
49 | Hao Yu 0001, Yu Hu 0002, Chunchen Liu, Lei He 0001 |
Minimal skew clock embedding considering time variant temperature gradient. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
clock tree design, compact parameterization, parameterized perturbation, thermal management |
49 | Po-Yuan Chen, Kuan-Hsien Ho, TingTing Hwang |
Skew aware polarity assignment in clock tree. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
49 | Duc Thanh Nguyen, Dai Binh Vo, Tu Mi Nguyen, Thuy Giang Nguyen |
A Robust Document Skew Estimation Algorithm Using Mathematical Morphology. |
ICTAI (1) |
2007 |
DBLP DOI BibTeX RDF |
|
49 | Mosin Mondal, Andrew J. Ricketts, Sami Kirolos, Tamer Ragheb, Greg M. Link, Narayanan Vijaykrishnan, Yehia Massoud |
Mitigating Thermal Effects on Clock Skew with Dynamically Adaptive Drivers. |
ISQED |
2007 |
DBLP DOI BibTeX RDF |
|
49 | Shijian Lu, Jie Wang, Chew Lim Tan |
Fast and Accurate Detection of Document Skew and Orientation. |
ICDAR |
2007 |
DBLP DOI BibTeX RDF |
|
49 | Baris Taskin, Ivan S. Kourtev |
Delay Insertion Method in Clock Skew Scheduling. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
49 | Houman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria |
Zero skew differential clock distribution network. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
49 | Shih-Hsu Huang, Yow-Tyng Nieh, Feng-Pin Lu |
Race-condition-aware clock skew scheduling. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
sequential circuits, high performance, timing optimization |
49 | Ali Amer 0002, Jonathan R. Sykes, Christopher J. Moore |
Imaging Panel Skew Correction and Auto-focusing in Radiotherapy Cone Beam Imaging. |
IV |
2004 |
DBLP DOI BibTeX RDF |
|
49 | Makoto Mori, Hongyu Chen, Bo Yao, Chung-Kuan Cheng |
A multiple level network approach for clock skew minimization with process variations. |
ASP-DAC |
2004 |
DBLP DOI BibTeX RDF |
|
49 | Anand Rajaram, Jiang Hu, Rabi N. Mahapatra |
Reducing clock skew variability via cross links. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
VLSI, physical design, variation, clock network synthesis |
49 | Christoph Albrecht, Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu, Alexander Zelikovsky |
On the skew-bounded minimum-buffer routing tree problem. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
49 | Aseem Agarwal, David T. Blaauw, Vladimir Zolotov |
Statistical Clock Skew Analysis Considering Intra-Die Process Variations. |
ICCAD |
2003 |
DBLP DOI BibTeX RDF |
|
49 | Yue Lu 0001, Chew Lim Tan |
Improved Nearest Neighbor Based Approach to Accurate Document Skew Estimation. |
ICDAR |
2003 |
DBLP DOI BibTeX RDF |
|
49 | Wei Li, Dengfeng Gao, Richard T. Snodgrass |
Skew handling techniques in sort-merge join. |
SIGMOD Conference |
2002 |
DBLP DOI BibTeX RDF |
|
49 | Reza Safabakhsh, Shahram Khadivi |
Document Skew Detection Using Minimum-Area Bounding Rectangle. |
ITCC |
2000 |
DBLP DOI BibTeX RDF |
|
49 | Huiye Ma, Zhenwei Yu 0002 |
An Enhanced Skew Angle Estimation Technique for Binary Document Images. |
ICDAR |
1999 |
DBLP DOI BibTeX RDF |
|
49 | Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn |
Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1998 |
DBLP DOI BibTeX RDF |
|
49 | José Luis Neves, Eby G. Friedman |
Design methodology for synthesizing clock distribution networks exploiting nonzero localized clock skew. |
IEEE Trans. Very Large Scale Integr. Syst. |
1996 |
DBLP DOI BibTeX RDF |
|
49 | Asit Dan, Philip S. Yu, Jen-Yao Chung |
Characterization of Database Access Skew in a Transaction Processing Environment. |
SIGMETRICS |
1992 |
DBLP DOI BibTeX RDF |
|
49 | Christopher B. Walton, Alfred G. Dale |
Data skew and the scalability of parallel joins. |
SPDP |
1991 |
DBLP DOI BibTeX RDF |
|
48 | Xinjie Wei, Yici Cai, Meng Zhao, Xianlong Hong |
Legitimate Skew Clock Routing with Buffer Insertion. |
J. VLSI Signal Process. |
2006 |
DBLP DOI BibTeX RDF |
legitimate skew, buffer insertion, clock routing |
48 | Takuma Yamaguchi, Minoru Maruyama, Hidetoshi Miyao, Yasuaki Nakano |
Digit recognition in a natural scene with skew and slant normalization. |
Int. J. Document Anal. Recognit. |
2005 |
DBLP DOI BibTeX RDF |
Digits in natural scenes, Skew and slant normalization, Digit recognition |
48 | Ganesh Venkataraman, Cliff C. N. Sze, Jiang Hu |
Skew scheduling and clock routing for improved tolerance to process variations. |
ASP-DAC |
2005 |
DBLP DOI BibTeX RDF |
layout embedding, skew scheduling, reliability, process variation, clock routing |
48 | Hailin Jiang, Kai Wang 0011, Malgorzata Marek-Sadowska |
Clock skew bounds estimation under power supply and process variations. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
process variation, clock skew, SLP |
48 | P. Shivakumara, G. Hemantha Kumar 0001, H. S. Varsha, S. Rekha, M. R. Rashmi Nayaka |
A New Moments based Skew Estimation Technique using Pixels in the Word for Binary Document Images. |
ICDAR |
2005 |
DBLP DOI BibTeX RDF |
Boundary growing, Optical character recognition, Connected component, Moments, Skew detection |
48 | Kai Wang 0011, Malgorzata Marek-Sadowska |
Buffer sizing for clock power minimization subject to general skew constraints. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
sequential linear programming, sizing, clock skew scheduling |
48 | G. S. Lehal, Renu Dhir |
A Range Free Skew Detection Technique for Digitized Gurmukhi Script Documents. |
ICDAR |
1999 |
DBLP DOI BibTeX RDF |
Gurmukhi script, Skew Detection, Projection profile |
48 | G. S. Peake, T. N. Tan |
A General Algorithm for Document Skew Angle Estimation. |
ICIP (2) |
1997 |
DBLP DOI BibTeX RDF |
document skew angle estimation, scanned document images, line spacing, Fourier spectra, peak pairs, graphical information, images, language, graphics, histogram, document image processing, script, general algorithm, font, document layout |
48 | Changming Sun, Deyi Si |
Skew and Slant Correction for Document Images Using Gradient Direction. |
ICDAR |
1997 |
DBLP DOI BibTeX RDF |
Gradient orientation, Document image analysis, Skew correction, Slant correction |
48 | Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn |
Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
acyclic pipelines, area-delay tradeoff, clock skew optimization, cycle-borrowing, logic design, combinational circuits, logic CAD, pipeline processing, circuit CAD, circuit optimisation, gate sizing, logic gates, pipelined circuits, timing specifications |
48 | Ray Smith |
A simple and efficient skew detection algorithm via text row accumulation. |
ICDAR |
1995 |
DBLP DOI BibTeX RDF |
skew detection algorithm, text row accumulation, document recognition system, page images, almost uniform distribution, image recognition |
47 | A. Lawrence Spitz |
Correcting for variable skew in document images. |
Int. J. Document Anal. Recognit. |
2003 |
DBLP DOI BibTeX RDF |
Skew detection, Skew correction |
47 | Andrew D. Bagdanov, Junichi Kanai |
Projection profile based skew estimation algorithm for JBIG compressed images. |
ICDAR |
1997 |
DBLP DOI BibTeX RDF |
projection profile based skew estimation algorithm, fiducial point extraction, skew angle estimation, JBIG compressed image decoding, page images, single column test zones, linear regression analyses, decoding |
Displaying result #1 - #100 of 3383 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|