|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 748 occurrences of 442 keywords
|
|
|
Results
Found 1217 publication records. Showing 1178 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
107 | Brian A. Fields, Rastislav Bodík, Mark D. Hill |
Slack: Maximizing Performance Under Technological Constraints. |
ISCA |
2002 |
DBLP DOI BibTeX RDF |
microexecution, technological constraints, wire delay, clusters, performance analysis, power, circuit complexity, critical path, program behavior, slack |
100 | Eugene Shragowitz, Habib Youssef, Bing Lu |
Iterative Converging Algorithms for Computing Bounds on Durations of Activities in Pert and Pert-Like Models. |
J. Comb. Optim. |
2003 |
DBLP DOI BibTeX RDF |
duration of activities, scheduling, approximation algorithms, directed acyclic graphs, NP-complete problems, critical path, timing bounds, PERT, slack |
80 | Subrata Acharya, Rabi N. Mahapatra |
A Dynamic Slack Management Technique for Real-Time Distributed Embedded Systems. |
IEEE Trans. Computers |
2008 |
DBLP DOI BibTeX RDF |
Periodic Service Rate, fault-tolerance, Real-Time, Energy Efficient, Slack |
80 | Reetuparna Das, Onur Mutlu, Thomas Moscibroda, Chita R. Das |
Aérgia: exploiting packet latency slack in on-chip networks. |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
multi-core, packet scheduling, memory systems, arbitration, prioritization, on-chip networks |
80 | Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan |
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
FPGA, low power, retiming |
71 | Ruey-Maw Chen, Shih-Tang Lo, Yueh-Min Huang |
Solving Inequality Constraints Job Scheduling Problem by Slack Competitive Neural Scheme. |
IEA/AIE |
2007 |
DBLP DOI BibTeX RDF |
Slack neuron, Competitive Hopfield neural network, Scheduling, Real-time |
71 | Srivathsan Krishnamohan, Nihar R. Mahapatra |
Increasing the energy efficiency of pipelined circuits via slack redistribution. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
slack passing, time borrowing, low-power design |
71 | Ravindra Jejurikar, Rajesh K. Gupta 0001 |
Dynamic slack reclamation with procrastination scheduling in real-time embedded systems. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
critical speed, dynamic slack reclamation, task procrastication, real-time systems, leakage power, low power scheduling |
62 | Yen-Hung Lin, Shu-Hsin Chang, Yih-Lang Li |
Critical-trunk based obstacle-avoiding rectilinear steiner tree routings for delay and slack optimization. |
ISPD |
2009 |
DBLP DOI BibTeX RDF |
elmore delay model, obstacle-avoiding rectilinear steiner tree, performance-driven routing, worst negative slack, timing constraint |
62 | Xiang Qiu, Yuchun Ma, Xiangqing He, Xianlong Hong |
IPOSA: A Novel Slack Distribution Algorithm for Interconnect Power Optimization. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
interconnect power, piecewise model, slack |
62 | Jian-Jia Chen, Chuan-Yue Yang, Tei-Wei Kuo |
Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors. |
SUTC (1) |
2006 |
DBLP DOI BibTeX RDF |
Slack Reclamation, Multiprocessor Scheduling, Energy-Efficient Scheduling, Real-Time Task Scheduling |
62 | Yan Lin 0001, Yu Hu 0002, Lei He 0001, Vijay Raghunat |
An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction. |
ISLPED |
2006 |
DBLP DOI BibTeX RDF |
time slack, FPGA, low power |
62 | Yan Lin 0001, Lei He 0001 |
Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
programmable-Vdd, time slack, FPGA, low power |
62 | Kenneth Fazel, Lun Li, Mitchell A. Thornton, Robert B. Reese, Cherrice Traver |
Performance enhancement in phased logic circuits using automatic slack-matching buffer insertion. |
ACM Great Lakes Symposium on VLSI |
2004 |
DBLP DOI BibTeX RDF |
phased logic, slack matching buffer insertion, asynchronous |
62 | Kyu-won Choi, Abhijit Chatterjee |
HA2TSD: hierarchical time slack distribution for ultra-low power CMOS VLSI. |
ISLPED |
2002 |
DBLP DOI BibTeX RDF |
gate-level power optimization, time slack distribution, low-power design |
62 | Shantanu Dutt, Hasan Arslan |
Efficient timing-driven incremental routing for VLSI circuits using DFS and localized slack-satisfaction computations. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
62 | T.-H. Hubert Chan, Michael Dinitz, Anupam Gupta 0001 |
Spanners with Slack. |
ESA |
2006 |
DBLP DOI BibTeX RDF |
|
62 | Kai Wang 0011, Malgorzata Marek-Sadowska |
Potential Slack Budgeting with Clock Skew Optimization. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
62 | Kyu-won Choi, Abhijit Chatterjee |
PA-ZSA (Power-Aware Zero-Slack Algorithm): A Graph-Based Timing Analysis for Ultra-Low Power CMOS VLSI. |
PATMOS |
2002 |
DBLP DOI BibTeX RDF |
|
54 | Kiranmai Bellam, Raghava K. Vudata, Xiao Qin 0001, Ziliang Zong, Xiaojun Ruan, Mais Nijim |
Interplay of Security and Reliability using Non-uniform Checkpoints. |
ICCCN |
2007 |
DBLP DOI BibTeX RDF |
|
54 | Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen |
Sensitivity guided net weighting for placement driven synthesis. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight |
54 | Haoxing Ren, David Zhigang Pan, David S. Kung 0001 |
Sensitivity guided net weighting for placement driven synthesis. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
interconnect, sensitivity analysis, physical synthesis, timing driven placement, net weight |
53 | Chunming Hu, Jinpeng Huai, Tianyu Wo |
Flexible Resource Reservation Using Slack Time for Service Grid. |
ICPADS (1) |
2006 |
DBLP DOI BibTeX RDF |
FIRST, quality of service, admission control, resource reservation, service grid, slack time |
53 | Rabi N. Mahapatra, Wei Zhao 0001 |
An Energy-Efficient Slack Distribution Technique for Multimode Distributed Real-Time Embedded Systems. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
traffic descriptor, slack management, service rate, low-power, Multimode |
53 | Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers |
Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems. |
IEEE Trans. Parallel Distributed Syst. |
2003 |
DBLP DOI BibTeX RDF |
slack sharing, scheduling, Real-time systems, multiprocessor |
53 | En-Shou Chang, Daniel Gajski, Sanjiv Narayan |
An optimal clock period selection method based on slack minimization criteria. |
ACM Trans. Design Autom. Electr. Syst. |
1996 |
DBLP DOI BibTeX RDF |
clock slack, scheduling, performance estimation, clock period |
53 | Yan Lin 0001, Lei He 0001 |
Dual-Vdd Interconnect With Chip-Level Time Slack Allocation for FPGA Power Reduction. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
53 | Jens Vygen |
Slack in static timing analysis. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
53 | Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh |
On effective slack management in postscheduling phase. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
53 | Caixue Lin, Scott A. Brandt |
Improving Soft Real-Time Performance through Better Slack Reclaiming. |
RTSS |
2005 |
DBLP DOI BibTeX RDF |
|
53 | Woonseok Kim, Jihong Kim 0001, Sang Lyul Min |
A Dynamic Voltage Scaling Algorithm for Dynamic-Priority Hard Real-Time Systems Using Slack Time Analysis. |
DATE |
2002 |
DBLP DOI BibTeX RDF |
|
53 | Gregory A. Muthler, David Crowe, Sanjay J. Patel, Steven Lumetta |
Instruction fetch deferral using static slack. |
MICRO |
2002 |
DBLP DOI BibTeX RDF |
|
46 | Ruey-Maw Chen, Shih-Tang Lo, Yueh-Min Huang |
Solving Multiprocessor Real-Time System Scheduling with Enhanced Competitive Scheme. |
ICONIP (2) |
2006 |
DBLP DOI BibTeX RDF |
Slack neuron, Scheduling, Hopfield neural network, Competitive learning |
46 | Yeonseung Ryu, Seok Won Hong, Hyuk Soo Jang, Sehyeong Cho, Chung Ki Lee |
An Admission Control Algorithm for Scheduling Mixed Traffic in Ubiquitous Environment. |
EUC |
2004 |
DBLP DOI BibTeX RDF |
Mixed-traffic scheduling, Slack stealing, Admission control, Ubiquitous network |
46 | Hyungill Kim, Sungyoung Lee, Jongwon Lee |
Alternative priority scheduling in dynamic priority systems. |
ICECCS |
1996 |
DBLP DOI BibTeX RDF |
dynamic priority systems, Alternative Priority Scheduling, aperiodic task scheduling, slack calculation, optimality, computational complexity, processor scheduling |
45 | Dmitry G. Korzun, Andrei V. Gurtov |
A local equilibrium model for P2P resource ranking. |
SIGMETRICS Perform. Evaluation Rev. |
2009 |
DBLP DOI BibTeX RDF |
|
45 | Bill Lin 0001, Jun (Jim) Xu, Nan Hua, Hao Wang 0006, Haiquan (Chuck) Zhao |
A randomized interleaved DRAM architecture for the maintenance of exact statistics counters. |
SIGMETRICS Perform. Evaluation Rev. |
2009 |
DBLP DOI BibTeX RDF |
|
45 | Sipat Triukose, Zhihua Wen, Michael Rabinovich |
Content delivery networks: how big is big enough? |
SIGMETRICS Perform. Evaluation Rev. |
2009 |
DBLP DOI BibTeX RDF |
|
45 | Alma Riska, Erik Riedel |
Evaluation of disk-level workloads at different time scales. |
SIGMETRICS Perform. Evaluation Rev. |
2009 |
DBLP DOI BibTeX RDF |
|
45 | Xiaoyu Mao, Nico Roos, Alfons H. Salden |
Stable multi-project scheduling of airport ground handling services by heterogeneous agents. |
AAMAS (1) |
2009 |
DBLP BibTeX RDF |
airport ground handling, multiagent system, uncertainty |
45 | Sunita Sarawagi, Rahul Gupta |
Accurate max-margin training for structured output spaces. |
ICML |
2008 |
DBLP DOI BibTeX RDF |
|
45 | Haoxing Ren, David Zhigang Pan, David S. Kung 0001 |
Sensitivity guided net weighting for placement-driven synthesis. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
44 | Yongseok Oh, Eunsam Kim, Jongmoo Choi, Donghee Lee 0001, Sam H. Noh |
Optimizations of LFS with slack space recycling and lazy indirect block update. |
SYSTOR |
2010 |
DBLP DOI BibTeX RDF |
lazy indirect block update, slack space recycling, cleaning, log-structured file system |
44 | Michael Dinitz |
Compact routing with slack. |
PODC |
2007 |
DBLP DOI BibTeX RDF |
compact routing, slack |
44 | Goran Konjevod, Andréa W. Richa, Donglin Xia, Hai Yu 0005 |
Compact routing with slack in low doubling dimension. |
PODC |
2007 |
DBLP DOI BibTeX RDF |
name-indpendent routing, routing with slack, compact routing, doubling dimension |
44 | Xinjie Wei, Yici Cai, Xianlong Hong |
Effective Acceleration of Iterative Slack Distribution Process. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Kaushal R. Gandhi, Nihar R. Mahapatra |
Exploiting data-dependent slack using dynamic multi-VDD to minimize energy consumption in datapath circuits. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Piyush Prakash, Alain J. Martin |
Slack Matching Quasi Delay-Insensitive Circuits. |
ASYNC |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Sungchae Lim |
The Dynamic Sweep Scheme Using Slack Time in the Zoned Disk. |
DASFAA |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Shih-Hsu Huang, Chun-Hua Cheng |
A formal approach to the slack driven scheduling problem in high-level synthesis. |
ISCAS (6) |
2005 |
DBLP DOI BibTeX RDF |
|
44 | Yau Chin, John Sheu, David M. Brooks |
Evaluating Techniques for Exploiting Instruction Slack. |
ICCD |
2004 |
DBLP DOI BibTeX RDF |
|
44 | Christoph Albrecht, Bernhard Korte, Jürgen Schietke, Jens Vygen |
Cycle time and slack optimization for VLSI-chips. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
37 | Junghoon Lee, Gyung-Leen Park, Shafqat Ur Rehman |
A real-time message scheduler support for dual-sink mobile ad-hoc sensor networks. |
SAC |
2009 |
DBLP DOI BibTeX RDF |
dual-sink, message slack, real-time message scheduler, timeliness requirement, sensor network, ns-2 |
37 | Yu-Hang Tsai, Kuochen Wang, Jheng-Ming Chen |
A deferred-workload-based inter-task dynamic voltage scaling algorithm for portable multimedia devices. |
IWCMC |
2007 |
DBLP DOI BibTeX RDF |
actual workload, deferred-workload-based, inter-task dynamic voltage scaling, worst-case execution time, hard real-time system, slack time |
37 | Jheng-Ming Chen, Kuochen Wang, Ming-Ham Lin |
Energy Efficient Scheduling for Real-Time Systems with Mixed Workload. |
EUC |
2007 |
DBLP DOI BibTeX RDF |
mixed workload real-time system, inter-task dynamic voltage scaling, actual workload, worst case-execution time, slack time |
37 | Yeonseung Ryu |
Scheduling Mixed Traffic under Earliest-Deadline-First Algorithm. |
ISCIS |
2003 |
DBLP DOI BibTeX RDF |
Slack stealing, Real-time scheduling, Packet scheduling |
36 | Srinivas R. Kashyap, Jeyashankher Ramamirtham, Rajeev Rastogi, Pushpraj Shukla |
Efficient Constraint Monitoring Using Adaptive Thresholds. |
ICDE |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li 0001 |
Utilizing Redundancy for Timing Critical Interconnect. |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Pravanjan Choudhury, P. P. Chakrabarti 0001, Rajeev Kumar 0004 |
Online Dynamic Voltage Scaling using Task Graph Mapping Analysis for Multiprocessors. |
VLSI Design |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Anne Bracy, Amir Roth |
Serialization-Aware Mini-Graphs: Performance with Fewer Resources. |
MICRO |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Dakai Zhu 0001 |
Reliability-Aware Dynamic Energy Management in Dependable Embedded Real-Time Systems. |
IEEE Real Time Technology and Applications Symposium |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Michael D. Powell, Ethan Schuchman, T. N. Vijaykumar |
Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines. |
MICRO |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Ittai Abraham, Yair Bartal, T.-H. Hubert Chan, Kedar Dhamdhere, Anupam Gupta 0001, Jon M. Kleinberg, Ofer Neiman, Aleksandrs Slivkins |
Metric Embeddings with Relaxed Guarantees. |
FOCS |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Fen Xie, Margaret Martonosi, Sharad Malik |
Efficient behavior-driven runtime dynamic voltage scaling policies. |
CODES+ISSS |
2005 |
DBLP DOI BibTeX RDF |
runtime dynamic voltage scaling, low power |
36 | Chunhong Chen, Xiaojian Yang, Majid Sarrafzadeh |
Predicting potential performance for digital circuits. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
36 | Ajay Dudani, Frank Mueller 0001, Yifan Zhu |
Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints. |
LCTES-SCOPES |
2002 |
DBLP DOI BibTeX RDF |
scheduling, real-time systems, dynamic voltage scaling |
35 | Myunggwon Hwang, Dongjin Choi, Pankoo Kim |
Least Slack Time Rate First: New Scheduling Algorithm for Multi-Processor Environment. |
CISIS |
2010 |
DBLP DOI BibTeX RDF |
multi-processor scheduling, least slack time rate, LSTR, scheduling algorithm, optimal scheduling |
35 | Girish Venkataramani, Seth Copen Goldstein |
Slack analysis in the system design loop. |
CODES+ISSS |
2008 |
DBLP DOI BibTeX RDF |
slack analysis, system design loop, timing update |
35 | Sujan Pandey, Rolf Drechsler |
Slack Allocation Based Co-Synthesis and Optimization of Bus and Memory Architectures for MPSoCs. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Tao Luo 0002, David Newmark, David Z. Pan |
Total power optimization combining placement, sizing and multi-Vt through slack distribution management. |
ASP-DAC |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Daniel Noack, Oliver Rose |
A simulation based optimization algorithm for slack reduction and workforce scheduling. |
WSC |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Gennette Gill, Vishal Gupta, Montek Singh |
Performance estimation and slack matching for pipelined asynchronous architectures with choice. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Andrew C. Ling, Jianwen Zhu, Stephen Dean Brown |
Delay driven AIG restructuring using slack budget management. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
aig, budget management, logic synthesis, network flow |
35 | Minje Jun, Kwanhu Bang, Hyuk-Jun Lee, Naehyuck Chang, Eui-Young Chung |
Slack-based Bus Arbitration Scheme for Soft Real-time Constrained Embedded Systems. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Hideaki Kimura 0003, Mitsuhisa Sato, Yoshihiko Hotta, Taisuke Boku, Daisuke Takahashi |
Emprical study on Reducing Energy of Parallel Programs using Slack Reclamation by DVFS in a Power-scalable High Performance Cluster. |
CLUSTER |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Hyung-Ock Kim, Youngsoo Shin |
Power-aware slack distribution for hierarchical VLSI design. |
ISCAS (4) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Shiqiong Tong, Michael Kleinberg, Karen Nan Miu |
A distributed slack bus model and its impact on distribution system application techniques. |
ISCAS (5) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Daniel Andreasson, Shashi Kumar |
Slack-time aware routing in NoC systems. |
ISCAS (3) |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Bita Gorjiara, Pai H. Chou, Nader Bagherzadeh, Mehrdad Reshadi, David W. Jensen |
Fast and efficient voltage scheduling by evolutionary slack distribution. |
ASP-DAC |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Haihua Yan, Adit D. Singh |
Reduce Yield Loss in Delay Defect Detection in Slack Interval. |
Asian Test Symposium |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Lucanus J. Simonson, King Ho Tam, Nataraj Akkiraju, Mosur Mohan, Lei He 0001 |
Leveraging Delay Slack in Flip-Flop and Buffer Insertion for Power Reduction. |
ISQED |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Yao Li |
The Slack Sharing Server for Embedded Microcontrollers. |
DELTA |
2004 |
DBLP DOI BibTeX RDF |
|
35 | David Talby, Dror G. Feitelson |
Supporting Priorities and Improving Utilization of the IBM SP Scheduler Using Slack-Based Backfilling. |
IPPS/SPDP |
1999 |
DBLP DOI BibTeX RDF |
|
35 | Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 |
Applications of Slack Neighborhood Graphs to Timing Driven Optimization Problems in FPGAs. |
FPGA |
1995 |
DBLP DOI BibTeX RDF |
|
34 | Mehrzad Nejat, Madhavan Manivannan, Miquel Pericàs, Per Stenström |
Cooperative Slack Management: Saving Energy of Multicore Processors by Trading Performance Slack Between QoS-Constrained Applications. |
ACM Trans. Archit. Code Optim. |
2022 |
DBLP DOI BibTeX RDF |
|
34 | Rickard Ewetz, Cheng-Kok Koh |
MCMM clock tree optimization based on slack redistribution using a reduced slack graph. |
ASP-DAC |
2016 |
DBLP DOI BibTeX RDF |
|
34 | Chia-Chieh Lu, Rung-Bin Lin |
Slack budgeting and slack to length converting for multi-bit flip-flop merging. |
DATE |
2013 |
DBLP DOI BibTeX RDF |
|
34 | Da-Ren Chen |
Slack computation for DVS algorithms in fixed-priority real-time systems using fluid slack analysis. |
J. Syst. Archit. |
2011 |
DBLP DOI BibTeX RDF |
|
34 | Masanori Kurimoto, Hiroaki Suzuki, Rei Akiyama, Tadao Yamanaka, Haruyuki Ohkuma, Hidehiro Takata, Hirofumi Shinohara |
Phase-adjustable error detection flip-flops with 2-stage hold-driven optimization, slack-based grouping scheme and slack distribution control for dynamic voltage scaling. |
ACM Trans. Design Autom. Electr. Syst. |
2010 |
DBLP DOI BibTeX RDF |
|
34 | Eduardo Bueno Campos, J. Alberto Aragon, Ma Paz Salmador Sánchez, Victor J. Garcia |
Tangible slack versus intangible resources: the influence of technology slack and tacit knowledge on the capability of organisational learning to generate innovation and performance. |
Int. J. Technol. Manag. |
2010 |
DBLP DOI BibTeX RDF |
|
28 | Razvan Racu, Arne Hamann, Rolf Ernst |
Sensitivity analysis of complex embedded real-time systems. |
Real Time Syst. |
2008 |
DBLP DOI BibTeX RDF |
System properties, Distributed systems, Real-time, Robustness, System-on-chip, Compositional, Embedded, Sensitivity analysis, Scheduling analysis, Binary search, Slack, Performance verification |
28 | Bita Gorjiara, Nader Bagherzadeh, Pai H. Chou |
Ultra-fast and efficient algorithm for energy optimization by gradient-based stochastic voltage and task scheduling. |
ACM Trans. Design Autom. Electr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
slack distribution, voltage and task scheduling, Power management |
28 | Youlin Ruan, Gan Liu, Jianjun Han, Qinghua Li |
An Energy-Efficient Scheduling Algorithm for Real-Time Tasks. |
International Conference on Computational Science (4) |
2007 |
DBLP DOI BibTeX RDF |
maximal slack first, energy-efficient, energy consumption |
28 | Marco Antonio Cruz-Chavez, Juan Frausto Solís |
A New Algorithm That Obtains an Approximation of the Critical Path in the Job Shop Scheduling Problem. |
MICAI |
2006 |
DBLP DOI BibTeX RDF |
schedule, metaheuristic, Critical path, neighborhood, slack time |
28 | Kyu-won Choi, Abhijit Chatterjee |
UDSM (ultra-deep sub-micron)-aware post-layout power optimization for ultra low-power CMOS VLSI. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
device and interconnect co-optimization, nanometer design, time slack distribution, low-power design |
28 | Tong Li 0003, Alvin R. Lebeck, Daniel J. Sorin |
Quantifying instruction criticality for shared memory multiprocessors. |
SPAA |
2003 |
DBLP DOI BibTeX RDF |
shared memory multiprocessors, slack, critical path analysis |
28 | Philip Brisk, Adam Kaplan, Ryan Kastner, Majid Sarrafzadeh |
Instruction generation and regularity extraction for reconfigurable processors. |
CASES |
2002 |
DBLP DOI BibTeX RDF |
control data-flow graph, template, slack, hardware compiler |
28 | Yao Li, Paul Wilson |
PARTOS-11: an Efficient Real-Time Operating System for Low-Cost Microcontrollers. |
DELTA |
2002 |
DBLP DOI BibTeX RDF |
embedded microcontroller, slack sharing server, real-time operating system |
28 | Daeyoung Kim 0001, Yann-Hang Lee |
DC2 scheduling for aperiodic tasks in strongly partitioned real-time systems. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
DC/sup 2/ scheduling, aperiodic task scheduling, strongly partitioned real time systems, SP-RTS, integrated real time applications, two-level hierarchical scheduling mechanism, multiple partitions, multiple periodic tasks, fixed priority algorithm, Distance Constraint guaranteed Dynamic Cyclic scheduler, distance-constrained cyclic schedule, distance constraint characteristics, partition cyclic schedule, slack time calculation, dynamic operations, hard aperiodic tasks, DC/sup 2/, soft aperiodic tasks, acceptance rate, scheduling, real-time systems, computational complexity, online scheduling, cyclic scheduling, spatial partitioning, partition scheduling, dynamic applications |
28 | Sergio Sáez, Joan Vila i Carbó, Alfons Crespo |
Task attribute assignment of fixed priority scheduled tasks to reenact off-line schedules. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
aperiodic transactions, hard aperiodic tasks, earliest deadline first algorithm, deadline modifications, dynamically-calculated response times, dynamic slack stealing algorithm, minimal response times, release time constraints, hard aperiodic distributed processes, distributed systems, distributed processing, processor scheduling, release time, precedence relations, periodic tasks scheduling |
Displaying result #1 - #100 of 1178 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|