|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1204 occurrences of 608 keywords
|
|
|
Results
Found 2046 publication records. Showing 2046 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
144 | Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 |
Supporting speculative parallelization in the presence of dynamic data structures. |
PLDI |
2010 |
DBLP DOI BibTeX RDF |
multicore processors, speculative parallelization |
127 | Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar |
Exploiting reference idempotency to reduce speculative storage overflow. |
ACM Trans. Program. Lang. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Idempotent references, compiler-assisted speculative execution, speculation |
126 | Xiaoru Dai, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew |
A General Compiler Framework for Speculative Optimizations Using Data Speculative Code Motion. |
CGO |
2005 |
DBLP DOI BibTeX RDF |
|
114 | Zhao-Hui Du, Chu-Cheow Lim, Xiao-Feng Li, Chen Yang, Qingyu Zhao, Tin-Fook Ngai |
A cost-driven compilation framework for speculative parallelization of sequential programs. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
cost-driven compilation, speculative parallel threading, loop transformation, thread-level speculation, speculative multithreading, speculative parallelization |
91 | Jialin Dou, Marcelo H. Cintra |
A compiler cost model for speculative parallelization. |
ACM Trans. Archit. Code Optim. |
2007 |
DBLP DOI BibTeX RDF |
thread-level speculation, speculative multithreading, Speculative parallelization |
91 | T. N. Vijaykumar, Sridhar Gopal, James E. Smith, Gurindar S. Sohi |
Speculative Versioning Cache. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
Speculative memory, snooping cache coherence protocols, speculative versioning, memory disambiguation |
88 | Chen Tian 0002, Min Feng 0001, Vijay Nagarajan, Rajiv Gupta 0001 |
Copy or Discard execution model for speculative parallelization on multicores. |
MICRO |
2008 |
DBLP DOI BibTeX RDF |
|
88 | Seon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar |
Reference idempotency analysis: a framework for optimizing speculative execution. |
PPoPP |
2001 |
DBLP DOI BibTeX RDF |
|
77 | Prakash Prabhu, Ganesan Ramalingam, Kapil Vaswani |
Safe programmable speculative parallelism. |
PLDI |
2010 |
DBLP DOI BibTeX RDF |
purity, rollback freedom, safety, speculative parallelism, value speculation |
77 | Katsumi Inoue, Koji Iwanuma |
Speculative Computation Through Consequence-Finding in Multi-Agent Environments. |
Ann. Math. Artif. Intell. |
2004 |
DBLP DOI BibTeX RDF |
consequence-finding, SOL calculus, conditional answer, default logic, speculative computation |
71 | Yangchun Luo, Venkatesan Packirisamy, Wei-Chung Hsu, Antonia Zhai, Nikhil Mungre, Ankit Tarkas |
Dynamic performance tuning for speculative threads. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
parallelism, multicore, dynamic optimization, thread-level speculation |
71 | Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry |
Tolerating Dependences Between Large Speculative Threads Via Sub-Threads. |
ISCA |
2006 |
DBLP DOI BibTeX RDF |
|
71 | Jialin Dou, Marcelo H. Cintra |
Compiler Estimation of Load Imbalance Overhead in Speculative Parallelization. |
IEEE PACT |
2004 |
DBLP DOI BibTeX RDF |
|
71 | Hideki Ando, Chikako Nakanishi, Tetsuya Hara, Masao Nakaya |
Unconstrained Speculative Execution with Predicated State Buffering. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
MIPS R3000 |
69 | Jong Wook Kwak, Chu Shik Jhon |
Recovery Logics for Speculative Update Global and Local Branch History. |
ISCIS |
2006 |
DBLP DOI BibTeX RDF |
Speculative Update Branch History, Recovery Logic, gshare Predictor, Branch Prediction, Branch History |
69 | Marcelo H. Cintra, Diego R. Llanos Ferraris |
Design Space Exploration of a Software Speculative Parallelization Scheme. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
parallel architectures, thread-level speculation, Speculative parallelization |
69 | Mohamed F. Younis, Thomas J. Marlowe, Alexander D. Stoyen, Grace Tsai |
Statically Safe Speculative Execution for Real-Time Systems. |
IEEE Trans. Software Eng. |
1999 |
DBLP DOI BibTeX RDF |
shadow execution, Real-time systems, distributed computation, static analysis, speculative execution, compiler transformations |
67 | Diego R. Llanos Ferraris, David Orden, Belén Palop |
Just-In-Time Scheduling for Loop-based Speculative Parallelization. |
PDP |
2008 |
DBLP DOI BibTeX RDF |
loop-based speculation, scheduling, speculative multithreading, Speculative parallelization |
67 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative optimizations. |
ACM Trans. Archit. Code Optim. |
2004 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, Data speculation, register promotion |
67 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai, Sun Chan |
A compiler framework for speculative analysis and optimizations. |
PLDI |
2003 |
DBLP DOI BibTeX RDF |
speculative SSA form, speculative weak update, partial redundancy elimination, data speculation, register promotion |
67 | Alex Pajuelo, Antonio González 0001, Mateo Valero |
Speculative Dynamic Vectorization. |
ISCA |
2002 |
DBLP DOI BibTeX RDF |
Speculative dynamic vectorization, wide buses, speculative data computation, control independence, vector instructions |
67 | P. V. R. Murthy, V. Rajaraman |
Implementation of Speculative Parallelism in Functional Languages. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
compile-time analysis technique, supercombinator, speculative evaluation, conservative parallelism, branch speculation, argument speculation, performance, probability, probability, functional programming, parallel languages, functional languages, lazy evaluation, speculative parallelism, user-defined function, strictness analysis |
63 | Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry |
CMP Support for Large and Dependent Speculative Threads. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
databases, Multiprocessor Systems, cache coherence, thread-level speculation |
63 | Jesús Alastruey, Teresa Monreal, Víctor Viñals, Mateo Valero |
Microarchitectural Support for Speculative Register Renaming. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
63 | Tong Chen 0010, Jin Lin, Xiaoru Dai, Wei-Chung Hsu, Pen-Chung Yew |
Data Dependence Profiling for Speculative Optimizations. |
CC |
2004 |
DBLP DOI BibTeX RDF |
|
63 | Liming Wang, Houkuan Huang, Yumei Chai |
Speculative Computation with Deadline and Its Resource Negotiation under Time Constraints. |
IAT |
2004 |
DBLP DOI BibTeX RDF |
|
63 | Renato J. O. Figueiredo, José A. B. Fortes |
Hardware Support for Extracting Coarse-Grain Speculative Parallelism in Distributed Shared-Memory Multiprocessors. |
ICPP |
2001 |
DBLP DOI BibTeX RDF |
|
63 | Jamison D. Collins, Hong Wang 0003, Dean M. Tullsen, Christopher J. Hughes, Yong-Fong Lee, Daniel M. Lavery, John Paul Shen |
Speculative precomputation: long-range prefetching of delinquent loads. |
ISCA |
2001 |
DBLP DOI BibTeX RDF |
Systems Application Architecture |
62 | Zheng Chen, Yin-Liang Zhao, Xiao-Yu Pan, Zhao-Yu Dong, Bing Gao, Zhi-Wen Zhong |
An Overview of Prophet. |
ICA3PP |
2009 |
DBLP DOI BibTeX RDF |
Thread partitioning, Pre-computation slice, Speculative Multithreading Architecture, Thread level parallelism, Speculative multithreading |
62 | Ilhyun Kim, Mikko H. Lipasti |
Implementing Optimizations at Decode Time. |
ISCA |
2002 |
DBLP DOI BibTeX RDF |
speculative scheduling, speculative decode, silent store, reference combining, confidence prediction, runtime optimizations |
61 | Edmund B. Nightingale, Peter M. Chen, Jason Flinn |
Speculative execution in a distributed file system. |
ACM Trans. Comput. Syst. |
2006 |
DBLP DOI BibTeX RDF |
causality, speculative execution, Distributed file systems |
61 | Christopher J. F. Pickett, Clark Verbrugge |
SableSpMT: a software framework for analysing speculative multithreading in Java. |
PASTE |
2005 |
DBLP DOI BibTeX RDF |
static and dynamic analysis, java, virtual machines, profiling, thread level speculation, speculative multithreading |
61 | Edmund B. Nightingale, Peter M. Chen, Jason Flinn |
Speculative execution in a distributed file system. |
SOSP |
2005 |
DBLP DOI BibTeX RDF |
causality, speculative execution, distributed file systems |
61 | David Petrou, Gregory R. Ganger, Garth A. Gibson |
Cluster scheduling for explicitly-speculative tasks. |
ICS |
2004 |
DBLP DOI BibTeX RDF |
optimistic, cluster, speculative, grid scheduling |
61 | Nor Jaidi Tuah, Mohan Kumar, Svetha Venkatesh, Sajal K. Das 0001 |
Performance Optimization Problem in Speculative Prefetching. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
Speculative prefetching, caching |
61 | Shih-Wei Liao, Perry H. Wang, Hong Wang 0003, John Paul Shen, Gerolf Hoflehner, Daniel M. Lavery |
Post-Pass Binary Adaptation for Software-Based Speculative Precomputation. |
PLDI |
2002 |
DBLP DOI BibTeX RDF |
chaining speculative precomputation, dependence reduction, long-range thread-based prefetching, loop rotation, post-pass, scheduling, prediction, slicing, speculation, triggering, pointer, slack, delay minimization |
58 | Ruben Gran Tejero, Enric Morancho, Àngel Olivé, José María Llabería |
On reducing misspeculations in a pipelined scheduler. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
57 | Marcelo H. Cintra, Josep Torrellas |
Speculative Multithreading Eliminating Squashes through Learning Cross-Thread Violations in Speculative Parallelization for Multiprocessors. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
Shared-Memory Multiprocessors, Speculative Parallelization |
55 | Marcin Brzuszek, Anna Sasak, Marcin Turek |
Speculative Computing of Recursive Functions Taking Values from Finite Sets. |
ISPDC |
2008 |
DBLP DOI BibTeX RDF |
|
55 | Venkatesan Packirisamy, Shengyue Wang, Antonia Zhai, Wei-Chung Hsu, Pen-Chung Yew |
Supporting Speculative Multithreading on Simultaneous Multithreaded Processors. |
HiPC |
2006 |
DBLP DOI BibTeX RDF |
|
55 | Cristian Tapus |
Kernel Level Speculative DSM. |
CCGRID |
2003 |
DBLP DOI BibTeX RDF |
|
55 | Jaroslaw Forenc, Andrzej Jordan, Marek Tudruj |
A Survey of Speculative Methods for Transient State Analysis. |
PARELEC |
2002 |
DBLP DOI BibTeX RDF |
|
55 | José F. Martínez, Josep Torrellas |
Speculative synchronization: applying thread-level speculation to explicitly parallel applications. |
ASPLOS |
2002 |
DBLP DOI BibTeX RDF |
|
55 | Ken Satoh, Keiji Yamamoto |
Speculative computation with multi-agent belief revision. |
AAMAS |
2002 |
DBLP DOI BibTeX RDF |
|
55 | Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha |
Incorporating speculative execution into scheduling ofcontrol-flow-intensive designs. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
55 | Pedro Marcuello, Jordi Tubella, Antonio González 0001 |
Value Prediction for Speculative Multithreaded Architectures. |
MICRO |
1999 |
DBLP DOI BibTeX RDF |
|
55 | P. Krishna Reddy, Masaru Kitsuregawa |
Speculative Lock Management to Increase Concurrency in Mobile Environments. |
MDA |
1999 |
DBLP DOI BibTeX RDF |
|
55 | Ganesh Lakshminarayana, Anand Raghunathan, Niraj K. Jha |
Incorporating Speculative Execution into Scheduling of Control-Flow Intensive Behavioral Descriptions. |
DAC |
1998 |
DBLP DOI BibTeX RDF |
high-level synthesis, telecommunication |
55 | Randy B. Osborne |
Speculative Computation in Multilisp. |
LISP and Functional Programming |
1990 |
DBLP DOI BibTeX RDF |
MULTILISP |
55 | Randy B. Osborne |
Speculative Computation in Multilisp. |
Workshop on Parallel Lisp |
1989 |
DBLP DOI BibTeX RDF |
|
53 | Seongbae Park, SangMin Shim, Soo-Mook Moon |
Evaluation of Scheduling Techniques on a SPARC-based VLIW Testbed. |
MICRO |
1997 |
DBLP DOI BibTeX RDF |
SPARC-based VLIW testbed, VLIW microprocessors, Very Long Instruction Word microprocessors, all-path speculation, gcc-generated optimized SPARC code, high-performance VLIW code, nongreedy enhanced pipeline scheduling, nonspeculative operations, profile-based all-path speculation, restricted speculative loads, scheduling compiler, speculative operations, trace-based speculation, performance, compiler, computer architecture, parallel machines, software pipelining, loop unrolling, renaming, memory disambiguation, copies, scheduling techniques |
52 | Carlos Madriles, Carlos García Quiñones, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen, Hong Wang 0003, John Paul Shen |
Mitosis: A Speculative Multithreaded Processor Based on Precomputation Slices. |
IEEE Trans. Parallel Distributed Syst. |
2008 |
DBLP DOI BibTeX RDF |
Speculative thread level parallelism, pre-computation slices, thread partitioning, multi-core architecture |
52 | Easwaran Raman, Neil Vachharajani, Ram Rangan, David I. August |
Spice: speculative parallel iteration chunk execution. |
CGO |
2008 |
DBLP DOI BibTeX RDF |
automatic paralleization, thread level parallelism, multicore architectures, speculative parallelization, value speculation |
52 | Alexander J. Macdonald, David F. Brailsford, Steven R. Bagley, John William Lumley |
Speculative document evaluation. |
ACM Symposium on Document Engineering |
2007 |
DBLP DOI BibTeX RDF |
VDP, speculative evaluation, optimisation, SVG, document layout, PPML |
52 | Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai |
Recovery code generation for general speculative optimizations. |
ACM Trans. Archit. Code Optim. |
2006 |
DBLP DOI BibTeX RDF |
Recovery code, multi-level data speculation, speculative SSA form |
52 | Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt |
Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. |
Int. J. Parallel Program. |
2005 |
DBLP DOI BibTeX RDF |
cache filtering, speculative memory references, Caches, runahead execution, cache pollution |
52 | Carlos García Quiñones, Carlos Madriles, F. Jesús Sánchez, Pedro Marcuello, Antonio González 0001, Dean M. Tullsen |
Mitosis compiler: an infrastructure for speculative threading based on pre-computation slices. |
PLDI |
2005 |
DBLP DOI BibTeX RDF |
pre-computation slices, automatic parallelization, thread-level parallelism, speculative multithreading |
52 | Peng-Sheng Chen, Ming-Yu Hung, Yuan-Shin Hwang, Roy Dz-Ching Ju, Jenq Kuen Lee |
Compiler support for speculative multithreading architecture with probabilistic points-to analysis. |
PPoPP |
2003 |
DBLP DOI BibTeX RDF |
probabilistic points-to analysis, parallelization, dependence analysis, speculative multithreading |
52 | Marcelo H. Cintra, Diego R. Llanos Ferraris |
Toward efficient and robust software speculative parallelization on multiprocessors. |
PPoPP |
2003 |
DBLP DOI BibTeX RDF |
thread-level speculation, speculative parallelization |
52 | Pedro Marcuello, Antonio González 0001 |
Thread-Spawning Schemes for Speculative Multithreading. |
HPCA |
2002 |
DBLP DOI BibTeX RDF |
Thread-spawning policies, Thread-level parallelism, Speculative multithreading |
52 | Anasua Bhowmik, Manoj Franklin |
A general compiler framework for speculative multithreading. |
SPAA |
2002 |
DBLP DOI BibTeX RDF |
TLP compiler, thread formation, parallelization, data dependence, thread-level parallelism (TLP), control dependence, speculative multithreading (SpMT) |
52 | Seon Wook Kim, Rudolf Eigenmann |
Compiler Techniques for Energy Saving in Instruction Caches of Speculative Parallel Microarchitectures. |
ICPP |
2000 |
DBLP DOI BibTeX RDF |
speculative microarchitecture, compiler, branch prediction, energy saving, instruction cache |
52 | Jeffrey T. Oplinger, David L. Heine, Monica S. Lam |
In Search of Speculative Thread-Level Parallelism. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
Speculative Threads, Parallelism, Value Prediction |
52 | Mohamed F. Younis, Grace Tsai, Thomas J. Marlowe, Alexander D. Stoyenko |
Using speculative execution for fault tolerance in a real-time system. |
ICECCS |
1995 |
DBLP DOI BibTeX RDF |
primary-backup approach, timeliness properties, fault tolerance, real-time systems, real-time system, compiler, fault tolerant computing, program compilers, simulation results, speculative execution |
50 | Cosmin E. Oancea, Alan Mycroft, Tim Harris 0001 |
A lightweight in-place implementation for software thread-level speculation. |
SPAA |
2009 |
DBLP DOI BibTeX RDF |
roll-back, thread-level speculation (tls) |
50 | Naveen Neelakantam, Ravi Rajwar, Suresh Srinivas, Uma Srinivasan 0003, Craig B. Zilles |
Hardware atomicity for reliable software speculation. |
ISCA |
2007 |
DBLP DOI BibTeX RDF |
Java, optimization, checkpoint, atomicity, speculation, isolation |
50 | Youfeng Wu, Yong-Fong Lee |
Comprehensive Redundant Load Elimination for the IA-64 Architecture. |
LCPC |
1999 |
DBLP DOI BibTeX RDF |
|
47 | Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero |
Kilo-instruction processors, runahead and prefetching. |
Conf. Computing Frontiers |
2006 |
DBLP DOI BibTeX RDF |
runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors |
47 | Scott A. Mahlke, William Y. Chen, Roger A. Bringmann, Richard E. Hank, Wen-mei W. Hwu, B. Ramakrishna Rau, Michael S. Schlansker |
Sentinel Scheduling for VLIW and Superscalar Processors. |
ACM Trans. Comput. Syst. |
1993 |
DBLP DOI BibTeX RDF |
exception detection, exception recovery, instruction-level parallelism, instruction scheduling, speculative execution, superscalar processor, VlIW processor |
46 | Cristian Tapus, Jason Hickey |
Distributed speculative execution for reliability and fault tolerance: an operational semantics. |
Distributed Comput. |
2009 |
DBLP DOI BibTeX RDF |
Fault tolerance, Distributed systems, Transactions, Operational semantics, Speculations |
46 | Michael Factor, Assaf Schuster, Konstantin Shagin, Tal Zamir |
Optimistic concurrency for clusters via speculative locking. |
SYSTOR |
2009 |
DBLP DOI BibTeX RDF |
distributed computing, optimistic concurrency control |
46 | Hans Vandierendonck, André Seznec |
Speculative return address stack management revisited. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
Return address prediction, back-up predictor, corruption detection |
46 | Yaobin Wang, Hong An, Bo Liang, Li Wang, Ming Cong, Yongqing Ren |
Balancing Thread Partition for Efficiently Exploiting Speculative Thread-Level Parallelism. |
APPT |
2007 |
DBLP DOI BibTeX RDF |
|
46 | María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas |
Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors. |
ACM Trans. Archit. Code Optim. |
2005 |
DBLP DOI BibTeX RDF |
Caching and buffering support, memory hierarchies, shared-memory multiprocessors, thread-level speculation, coherence protocol |
46 | Taku Ohsawa, Masamichi Takagi, Shoji Kawahara, Satoshi Matsushita |
Pinot: Speculative Multi-threading Processor Architecture Exploiting Parallelism over a Wide Range of Granularities. |
MICRO |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Rahul Nagpal, Anasua Bhowmik |
Criticality Based Speculation Control for Speculative Multithreaded Architectures. |
APPT |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Xiao-Feng Li, Chen Yang, Zhao-Hui Du, Tin-Fook Ngai |
Exploiting Thread-Level Speculative Parallelism with Software Value Prediction. |
Asia-Pacific Computer Systems Architecture Conference |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Fredrik Warg, Per Stenström |
Reducing misspeculation overhead for module-level speculative execution. |
Conf. Computing Frontiers |
2005 |
DBLP DOI BibTeX RDF |
misspeculation prediction, module-level parallelism, performance evaluation, chip multiprocessors, thread-level speculation |
46 | David Petrou, Garth A. Gibson, Gregory R. Ganger |
Scheduling speculative tasks in a compute farm. |
SC |
2005 |
DBLP DOI BibTeX RDF |
|
46 | P. Krishna Reddy, Masaru Kitsuregawa |
Speculative Locking Protocols to Improve Performance for Distributed Database System. |
IEEE Trans. Knowl. Data Eng. |
2004 |
DBLP DOI BibTeX RDF |
performance evaluation, Distributed database, concurrency control, transaction processing, locking, speculation |
46 | Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy |
An evaluation of speculative instruction execution on simultaneous multithreaded processors. |
ACM Trans. Comput. Syst. |
2003 |
DBLP DOI BibTeX RDF |
multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading |
46 | Jin Lin, Tong Chen 0010, Wei-Chung Hsu, Pen-Chung Yew |
Speculative Register Promotion Using Advanced Load Address Table (ALAT). |
CGO |
2003 |
DBLP DOI BibTeX RDF |
|
46 | Ken Satoh, Philippe Codognet, Hiroshi Hosobe |
Speculative Constraint Processing in Multi-agent Systems. |
PRIMA |
2003 |
DBLP DOI BibTeX RDF |
|
46 | Chris Gniady, Babak Falsafi |
Speculative Sequential Consistency with Little Custom Storage. |
IEEE PACT |
2002 |
DBLP DOI BibTeX RDF |
|
46 | Mohamed M. Zahran, Manoj Franklin |
Return-Address Prediction in Speculative Multithreaded Environments. |
HiPC |
2002 |
DBLP DOI BibTeX RDF |
|
46 | Raimund Schröder, Werner E. Kluge |
Organizing Speculative Computations in Functional Systems. |
IFL |
2000 |
DBLP DOI BibTeX RDF |
|
46 | Marcelo H. Cintra, José F. Martínez, Josep Torrellas |
Architectural support for scalable speculative parallelization in shared-memory multiprocessors. |
ISCA |
2000 |
DBLP DOI BibTeX RDF |
|
46 | Paul Feautrier |
Basis of Parallel Speculative Execution. |
Euro-Par |
1997 |
DBLP DOI BibTeX RDF |
|
46 | Brian L. Deitrich, Wen-mei W. Hwu |
Speculative Hedge: Regulating Compile-time Speculation Against Profile Variations. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
|
44 | Jingling Xue, Qiong Cai |
A lifetime optimal algorithm for speculative PRE. |
ACM Trans. Archit. Code Optim. |
2006 |
DBLP DOI BibTeX RDF |
classic PRE, speculative PRE, data-flow analysis, Partial redundancy elimination, lifetime optimality, computational optimality |
44 | Pedro Marcuello, Antonio González 0001, Jordi Tubella |
Thread Partitioning and Value Prediction for Exploiting Speculative Thread-Level Parallelism. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
Speculative thread-level parallelism, thread spawning policies, branch prediction, value prediction, clustered architectures |
44 | Ying Hu 0003, Clark W. Barrett, Benjamin Goldberg |
Theory and Algorithms for the Generation and Validation of Speculative Loop Optimizations. |
SEFM |
2004 |
DBLP DOI BibTeX RDF |
Compiler validation, speculative loop optimizations, formal methods, translation validation |
44 | Anasua Bhowmik, Manoj Franklin |
A fast approximate interprocedural analysis for speculative multithreading compilers. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
pointer analysis, thread-level parallelism (TLP), interprocedural analysis, speculative multithreading (SpMT) |
44 | Iffat H. Kazi, David J. Lilja |
Coarse-Grained Thread Pipelining: A Speculative Parallel Execution Model for Shared-Memory Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
Runtime parallelization, thread pipelining, superthreaded architecture, shared-memory multiprocessors, speculative execution, coarse-grained parallelization |
44 | Iffat H. Kazi, David J. Lilja |
JavaSpMT: A Speculative Thread Pipelining Parallelization Model for Java Programs. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
run-time dependence checking, shared-memory multprocessors, Java, multithreading, speculative execution, Parallelization model |
44 | Freddy Gabbay, Avi Mendelson |
Using Value Prediction to Increase the Power of Speculative Execution Hardware. |
ACM Trans. Comput. Syst. |
1998 |
DBLP DOI BibTeX RDF |
stride value prediction, speculative execution, value prediction |
44 | Xinbo Gao 0001, Hiroyuki Iida, Jos W. H. M. Uiterwijk, H. Jaap van den Herik |
A Speculative Strategy. |
Computers and Games |
1998 |
DBLP DOI BibTeX RDF |
speculative play, pruning, opponent modelling, Othello |
44 | Andrew Sohn |
Parallel N-ary Speculative Computation of Simulated Annealing. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
synchronous simulated annealing, simulated annealing, combinatorial optimization, Traveling Salesman Problem, Parallel simulated annealing, speculative computation |
44 | M. Anton Ertl, Andreas Krall |
Delayed Exceptions - Speculative Execution of Trapping Instructions. |
CC |
1994 |
DBLP DOI BibTeX RDF |
instruction-level parallelism, software pipelining, exception, speculative execution, superscalar |
42 | Paolo Romano 0002, Roberto Palmieri, Francesco Quaglia, Nuno Carvalho, Luís E. T. Rodrigues |
An Optimal Speculative Transactional Replication Protocol. |
ISPA |
2010 |
DBLP DOI BibTeX RDF |
replicated transactional system, speculative processing, view serializability, speculative replication protocol, distributed system |
Displaying result #1 - #100 of 2046 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|