|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2113 occurrences of 1161 keywords
|
|
|
Results
Found 16443 publication records. Showing 16443 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
92 | Shervin Sharifi, Tajana Simunic Rosing |
An analytical model for the upper bound on temperature differences on a chip. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
temperature difference, temperature variation, temperature, thermal management, sensor placement |
80 | Inchoon Yeo, Chih Chun Liu, Eun Jung Kim 0001 |
Predictive dynamic thermal management for multicore systems. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
temperature |
76 | Bin Zhang 0011, Michael Orshansky |
Modeling of NBTI-Induced PMOS Degradation under Arbitrary Dynamic Temperature Variation. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
temperature variation, NBTI |
71 | Han Longzhu, Xiu-gan Yuan |
Experimental Research of Evaluation of Temperature Ergonomics of EVA Spacesuit Glove. |
HCI (12) |
2007 |
DBLP DOI BibTeX RDF |
EVA spacesuit glove, low temperature, ergonomics, hand |
71 | Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik |
Peak temperature control and leakage reduction during binding in high level synthesis. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
switching, leakage, temperature, binding |
70 | Daniel C. Vanderster, Amirali Baniasadi, Nikitas J. Dimopoulos |
Exploiting Task Temperature Profiling in Temperature-Aware Task Scheduling for Computational Clusters. |
Asia-Pacific Computer Systems Architecture Conference |
2007 |
DBLP DOI BibTeX RDF |
|
70 | Runjie Shen, Wen He, Guangqing Wang, Zichen Chen |
Simulation and experiment on temperature control of temperature box in centrifugal field. |
ICARCV |
2004 |
DBLP DOI BibTeX RDF |
|
68 | Kanupriya Gulati, Sunil P. Khatri, Peng Li 0001 |
Closed-loop modeling of power and temperature profiles of FPGAs. |
FPGA |
2009 |
DBLP DOI BibTeX RDF |
sub-threshold leakage, dynamic power |
68 | Emad S. Ebbini |
Noninvasive two-dimensional temperature imaging for guidance of thermal therapy. |
ISBI |
2006 |
DBLP DOI BibTeX RDF |
|
67 | Danyu Bai, Lixin Tang, Meng Su |
A New Machine Scheduling Problem with Temperature Loss. |
WKDD |
2008 |
DBLP DOI BibTeX RDF |
Temperature Drop Curve, Total Temperature Drop Loss, Scheduling, NP-hard |
67 | Seong-Ho Song |
Thermal data correction algorithm for electrical components using infrared camera. |
ETFA |
2005 |
DBLP DOI BibTeX RDF |
|
65 | Ping Xiao, Wen-bin Zhang |
Dynamic Analysis of Skin Temperature Distribution Exerted by Elastic Pants. |
BMEI (1) |
2008 |
DBLP DOI BibTeX RDF |
skin temperature, skin pressure, infrared thermal imaging, elastic pants |
64 | Tao Han 0010, Xiaojun Ji, Wenkang Shi |
Optimal pressure-sensitive cuts for surface acoustic waves on langasite. |
Sci. China Ser. F Inf. Sci. |
2006 |
DBLP DOI BibTeX RDF |
surface acoustic wave, langasite, pressure sensitivity, high temperature |
64 | Priya Sundararajan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan |
Thermal characterization and optimization in platform FPGAs. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
Virtex4, platform FPGAs, thermal floorplan, placement, temperature, thermal |
63 | Ja Chun Ku, Yehea I. Ismail |
On the Scaling of Temperature-Dependent Effects. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
59 | Jian-Jia Chen, Chia-Mei Hung, Tei-Wei Kuo |
On the Minimization fo the Instantaneous Temperature for Periodic Real-Time Tasks. |
IEEE Real-Time and Embedded Technology and Applications Symposium |
2007 |
DBLP DOI BibTeX RDF |
Temperature-aware scheduling, Real-time systems, Dynamic voltage scaling |
57 | Man Kay Law, Amine Bermak |
A Time Domain differential CMOS Temperature Sensor with Reduced Supply Sensitivity. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
57 | Lei Zhang, Fei Zhou, Yaping Qian |
Develop of the Blast Furnace Soft Water Temperature Measurement System. |
CSSE (4) |
2008 |
DBLP DOI BibTeX RDF |
|
57 | Weiping Liao, Lei He 0001, Kevin M. Lepak |
Temperature and supply Voltage aware performance and power modeling at microarchitecture level. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
57 | Nikhil Bansal 0001, Kirk Pruhs |
Speed Scaling to Manage Temperature. |
STACS |
2005 |
DBLP DOI BibTeX RDF |
|
56 | Wei Huang 0004, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan, Shougata Ghosh, Sivakumar Velusamy |
Compact thermal modeling for temperature-aware design. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
temperature-aware computing, reliability, leakage, thermal model, temperature-aware design, power-aware design |
56 | Zhijian Lu, Wei Huang 0004, John C. Lach, Mircea R. Stan, Kevin Skadron |
Interconnect lifetime prediction under dynamic stress for reliability-aware design. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
54 | Yo-Ping Huang, Jung-Shian Jau, Frode Eika Sandnes |
Temporal-spatial association analysis of ocean salinity and temperature variations. |
ICIS |
2009 |
DBLP DOI BibTeX RDF |
ocean temperature and salinity variations, temporal-spatial association rules, data mining, climate changes |
54 | Yufu Zhang, Ankur Srivastava 0001 |
Accurate temperature estimation using noisy thermal sensors. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
on-chip sensor, estimation, multicore, temperature, DTM |
54 | Min Bao, Alexandru Andrei, Petru Eles, Zebo Peng |
On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
voltage/frequency scaling, energy, temperature dependency |
54 | Gerardo M. Mendez, Luis Leduc-Lezama, Rafael Colas, Gabriel Murillo-Pérez, Jorge Ramírez-Cuellar, José J. López |
Application of Interval Type-2 Fuzzy Logic Systems for Control of the Coiling Entry Temperature in a Hot Strip Mill. |
HAIS |
2009 |
DBLP DOI BibTeX RDF |
Type-2 fuzzy inference systems, temperature modeling and control, uncertain rule-based fuzzy logic systems |
54 | Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik |
An approach for adaptive DRAM temperature and power management. |
ICS |
2008 |
DBLP DOI BibTeX RDF |
power, temperature, DRAM |
54 | Andrea Calimera, Enrico Macii, Massimo Poncino, R. Iris Bahar |
Temperature-insensitive synthesis using multi-vt libraries. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
multi-threshold voltage, temperature-aware, logic synthesis |
54 | Srinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta 0001, Stephen P. Boyd, Giovanni De Micheli |
Temperature-aware processor frequency assignment for MPSoCs using convex optimization. |
CODES+ISSS |
2007 |
DBLP DOI BibTeX RDF |
temperature-aware, MPSoCs, convex optimization, thermal |
53 | Yiming Zhai, S. B. Prakash, Marc H. Cohen, Pamela Abshire |
Detection of on-chip temperature gradient using a 1.5V low power CMOS temperature sensor. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
52 | Ravishankar Rao, Sarma B. K. Vrudhula |
Performance optimal processor throttling under thermal constraints. |
CASES |
2007 |
DBLP DOI BibTeX RDF |
leakage dependence on temperature, power, thermal management, thermal model, throttling |
52 | Sangyoung Park, Jian-Jia Chen, Donghwa Shin, Younghyun Kim 0001, Chia-Lin Yang, Naehyuck Chang |
Dynamic thermal management for networked embedded systems under harsh ambient temperature variation. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
electronic control unit, embedded system, thermal management, automotive electronics |
52 | Joseph T.-s. Tsai, Herming Chiueh |
High linear voltage references for on-chip CMOS smart temperature sensor from -60degreeC to 140degreeC. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
52 | Chan-Kyung Kim, Bai-Sun Kong, Chil-Gee Lee, Young-Hyun Jun |
CMOS temperature sensor with ring oscillator for mobile DRAM self-refresh control. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
52 | Marek Chrobak, Christoph Dürr, Mathilde Hurand, Julien Robert |
Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems. |
AAIM |
2008 |
DBLP DOI BibTeX RDF |
|
51 | Amir Kavousi, Mohammad Reza Meshkani |
Spatial Analysis of Humidity and Temperature of Iran. |
ICCSA (1) |
2009 |
DBLP DOI BibTeX RDF |
Spatial Prediction, Humidity, Temperature-Humidity-Index, Temperature, Geostatistics |
49 | Amy Germida, James F. Plusquellic |
Detection of CMOS Defects under Variable Processing Conditions. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
Built-In Differential Temperature Sensors, Fault Location, Mixed-Signal Circuits, Thermal Testing, Temperature Measurements |
49 | Josep Altet, Antonio Rubio 0001, Emmanuel Schaub, Stefan Dilhaire, Wilfrid Claeys |
Thermal Testing: Fault Location Strategies. |
VTS |
2000 |
DBLP DOI BibTeX RDF |
Built-In Differential Temperature Sensors, Fault Location, Mixed-Signal Circuits, Thermal Testing, Temperature Measurements |
48 | Jicheng Wang, Bing Shen, James R. Roppolo, William C. de Groat, Changfeng Tai |
Influence of frequency and temperature on the mechanisms of nerve conduction block induced by high-frequency biphasic electrical current. |
J. Comput. Neurosci. |
2008 |
DBLP DOI BibTeX RDF |
Axon, Stimulation, Model, Block, Temperature, Frequency |
48 | Shengquan Wang, Riccardo Bettati |
Reactive speed control in temperature-constrained real-time systems. |
Real Time Syst. |
2008 |
DBLP DOI BibTeX RDF |
Real-time, Temperature, Speed control |
48 | David Wolpert 0001, Paul Ampadu |
Normal and Reverse Temperature Dependence in Variation-Tolerant Nanoscale Systems with High-k Dielectrics and Metal Gates. |
NanoNet |
2008 |
DBLP DOI BibTeX RDF |
Reverse temperature dependence, high-k dielectric, variation-tolerant, metal gate |
48 | Aïcha Far, Bin Guo 0011, Farid Flitti, Amine Bermak |
Temperature Modulation for Tin-Oxide Gas Sensors. |
DELTA |
2008 |
DBLP DOI BibTeX RDF |
Temperature Modulation, tin oxide gas sensor array, olfactory systems |
48 | Xiongfei Liao, Wu Jigang, Thambipillai Srikanthan |
A temperature-aware virtual submesh allocation scheme for noc-based manycore chips. |
SPAA |
2008 |
DBLP DOI BibTeX RDF |
manycore chips, submesh allocation, algorithm, noc, temperature |
48 | Sandip Kundu, Piet Engelke, Ilia Polian, Bernd Becker 0001 |
On Detection of Resistive Bridging Defects by Low-Temperature and Low-Voltage Testing. |
Asian Test Symposium |
2005 |
DBLP DOI BibTeX RDF |
Temperature testing, Resistive defects, Early-life failures, Low-voltage testing |
48 | Rajarshi Mukherjee, Seda Ogrenci Memik, Gokhan Memik |
Temperature-aware resource allocation and binding in high-level synthesis. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
switching, leakage, temperature, binding |
48 | Stefanos Kaxiras, Polychronis Xekalakis |
4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
4T SRAM, architecture, sensor, leakage, temperature |
48 | Jiwei Chen, Bingxue Shi |
New approach to CMOS current reference with very low temperature coefficient. |
ACM Great Lakes Symposium on VLSI |
2003 |
DBLP DOI BibTeX RDF |
temperature coefficient, CMOS, current reference |
48 | Karim Arabi, Bozena Kaminska |
Integrated Temperature Sensors for On-Line Thermal Monitoring of Microelectronic Structures. |
J. Electron. Test. |
1998 |
DBLP DOI BibTeX RDF |
thermal monitoring, oscillation-test strategy, BIST, on-line testing, temperature sensor |
48 | Jean Michel Daga, E. Ottaviano, Daniel Auvergne |
Temperature Effect on Delay for Low Voltage Applications. |
DATE |
1998 |
DBLP DOI BibTeX RDF |
temperature effect, derating, Low power, delay, Low voltage |
47 | Seongmoo Heo, Kenneth C. Barr, Krste Asanovic |
Reducing power density through activity migration. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
activity migration, temperature reduction, thermal model |
46 | Benjamin Carrión Schäfer, Taewhan Kim |
Hotspots Elimination and Temperature Flattening in VLSI Circuits. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
46 | Bin Li 0018, Li-Shiuan Peh, Priyadarsan Patra |
Impact of Process and Temperature Variations on Network-on-Chip Design Exploration. |
NOCS |
2008 |
DBLP DOI BibTeX RDF |
|
46 | Srinivasan Murali, Almir Mutapcic, David Atienza, Rajesh Gupta 0001, Stephen P. Boyd, Luca Benini, Giovanni De Micheli |
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
46 | Ramkumar Jayaseelan, Tulika Mitra |
Temperature aware task sequencing and voltage scaling. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
46 | Chunchen Liu, Junjie Su, Yiyu Shi 0001 |
Temperature-aware clock tree synthesis considering spatiotemporal hot spot correlations. |
ICCD |
2008 |
DBLP DOI BibTeX RDF |
|
46 | Lin Xinmei, Zhao Ziyu |
A Study of Transient Temperature Measuring System Based on LabVIEW for Droplets. |
CSSE (2) |
2008 |
DBLP DOI BibTeX RDF |
|
46 | Ranjith Kumar, Volkan Kursun |
Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologies. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Minsik Cho, David Z. Pan |
PEAKASO: Peak-Temperature Aware Scan-Vector Optimization. |
VTS |
2006 |
DBLP DOI BibTeX RDF |
|
46 | Ali Manzak |
Temperature Aware Datapath Scheduling. |
PATMOS |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Criel Merino, M. L. Luis-Garcia, S. E. Hernandez, F. A. Martin, O. Casanova, D. Gomez, M. A. Castellano, José L. González-Mora |
Application of a Digital Deconvolution Technique to Brain Temperature Measurement and Its Correlation with Other Physiological Parameters. |
CBMS |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Xiyuan Chen |
Modeling Temperature Drift of FOG by Improved BP Algorithm and by Gauss-Newton Algorithm. |
ISNN (2) |
2004 |
DBLP DOI BibTeX RDF |
|
46 | Ji Youn Lee, Soo-Yong Shin, Sirk June Augh, Tai Hyun Park, Byoung-Tak Zhang |
Temperature Gradient-Based DNA Computing for Graph Problems with Weighted Edges. |
DNA |
2002 |
DBLP DOI BibTeX RDF |
|
46 | Yi-Kan Cheng, Sung-Mo Kang |
Temperature-driven power and timing analysis for CMOS ULSI circuits. |
ISCAS (6) |
1999 |
DBLP DOI BibTeX RDF |
|
45 | Zhenyu (Peter) Gu, Yonghong Yang, Jia Wang 0003, Robert P. Dick, Li Shang |
TAPHS: thermal-aware unified physical-level and high-level synthesis. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
43 | Elcio Hideiti Shiguemori, Haroldo F. de Campos Velho, José Demísio Simões da Silva |
Atmospheric temperature retrieval from satellite data: new non-extensive artificial neural network approach. |
SAC |
2008 |
DBLP DOI BibTeX RDF |
temperature retrieval, neural networks, inverse problems |
43 | Shervin Sharifi, Chunchen Liu, Tajana Simunic Rosing |
Accurate Temperature Estimation for Efficient Thermal Management. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
Temperature Estimation, Thermal Management |
43 | Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik |
A power and temperature aware DRAM architecture. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
page hit aware write buffer, power, temperature, DRAM |
43 | Bertil Thomas, Mohsen Soleimani-Mohseni |
Artificial neural network models for indoor temperature prediction: investigations in two buildings. |
Neural Comput. Appl. |
2007 |
DBLP DOI BibTeX RDF |
Temperature prediction, Building automation systems, Neural networks, Identification, Model predictive control |
43 | Ali Dasdan, Ivan Hom |
Handling inverted temperature dependence in static timing analysis. |
ACM Trans. Design Autom. Electr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
timing corners, voltage dependence, Static timing analysis, temperature dependence |
43 | Conrado Rossi, Pablo Aguirre |
Ultra-low power CMOS cells for temperature sensors. |
SBCCI |
2005 |
DBLP DOI BibTeX RDF |
micropower, CMOS, voltage reference, temperature sensor, current reference |
41 | Miao Ning, Jifa Gu |
Research on Social Stability Mechanisms Based on Activation Energy and Gradual Activation Reaction Theory. |
Complex (2) |
2009 |
DBLP DOI BibTeX RDF |
Social stability, Social temperature, Activation energy of social agent, Gradual activation reaction, Mechanisms |
41 | Weitang Song, Xiaojun Qiao |
A Regression Model of Dry Matter Accumulation for Solar Greenhouse Cucumber. |
CCTA |
2007 |
DBLP DOI BibTeX RDF |
cucumber, dry matter accumulation, effective temperature accumulation, effective light intensity accumulation, regression model |
40 | Ramkumar Jayaseelan, Tulika Mitra |
Temperature Aware Scheduling for Embedded Processors. |
VLSI Design |
2009 |
DBLP DOI BibTeX RDF |
|
40 | Andrew Labun, Karan Jagjitkumar |
Rapid Detailed Temperature Estimation for Highly Coupled IC Interconnect. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Weimin Hao, Xiaohui Li, Minglu Zhang |
Application of RBF Neural Network to Temperature Compensation of Gas Sensor. |
CSSE (4) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Nikhil Bansal 0001, Tracy Kimbrel, Kirk Pruhs |
Speed scaling to manage energy and temperature. |
J. ACM |
2007 |
DBLP DOI BibTeX RDF |
power management, voltage scaling, Speed scaling |
40 | Wei Wu 0024, Lingling Jin, Jun Yang 0002, Pu Liu, Sheldon X.-D. Tan |
Efficient power modeling and software thermal sensing for runtime temperature monitoring. |
ACM Trans. Design Autom. Electr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
Power, thermal |
40 | Arunabha Sen, Nibedita Das, Ling Zhou, Bao Hong Shen, Sudheendra Murthy, Prajesh Bhattacharya |
Coverage Problem for Sensors Embedded in Temperature Sensitive Environments. |
SECON |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Hao Yu 0001, Yu Hu 0002, Chunchen Liu, Lei He 0001 |
Minimal skew clock embedding considering time variant temperature gradient. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
clock tree design, compact parameterization, parameterized perturbation, thermal management |
40 | Rainer Baumann, Simon Heimlicher, Vincent Lenders, Martin May |
HEAT: Scalable Routing in Wireless Mesh Networks Using Temperature Fields. |
WOWMOM |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Benjamin Carrión Schäfer, Yongho Lee, Taewhan Kim |
Temperature-Aware Compilation for VLIWProcessors. |
RTCSA |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Jung Hwan Choi, Jayathi Murthy, Kaushik Roy 0001 |
The effect of process variation on device temperature in FinFET circuits. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Yuan Xie 0001, Wei-Lun Hung |
Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design. |
J. VLSI Signal Process. |
2006 |
DBLP DOI BibTeX RDF |
thermal-aware design, scheduling, embedded system design, system-on-chip design |
40 | Ming-Yang Kao, Robert T. Schweller |
Reducing tile complexity for self-assembly through temperature programming. |
SODA |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Ranjith Kumar, Volkan Kursun |
A design methodology for temperature variation insensitive low power circuits. |
ACM Great Lakes Symposium on VLSI |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Baudouin Denis de Senneville, Bruno Quesson, Pascal Desbarats, Rares Salomir, Jean Palussiere, Chrit T. W. Moonen |
Atlas-based motion correction for on-line mr temperature mapping. |
ICIP |
2004 |
DBLP DOI BibTeX RDF |
|
40 | Krishnakumar Sundaresan, Keith C. Brouse, Kongpop U-Yen, Farrokh Ayazi, Phillip E. Allen |
A 7-MHz process, temperature and supply compensated clock oscillator in 0.25µm CMOS. |
ISCAS (1) |
2003 |
DBLP DOI BibTeX RDF |
|
40 | Ching-Han Tsai, Sung-Mo Kang |
Macrocell placement with temperature profile optimization. |
ISCAS (6) |
1999 |
DBLP DOI BibTeX RDF |
|
39 | Andrea Acquaviva, Andrea Calimera, Alberto Macii, Massimo Poncino, Enrico Macii, Matteo Giaconia, Claudio Parrella |
An integrated thermal estimation framework for industrial embedded platforms. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
system-on-chip, power, estimation, thermal |
39 | John Kemp, Elena I. Gaura, James Brusey, C. Douglas Thake |
Using Body Sensor Networks for Increased Safety in Bomb Disposal Missions. |
SUTC |
2008 |
DBLP DOI BibTeX RDF |
bomb disposal suits, thermal comfort models, body sensor networks |
39 | Jun Yang 0002, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin |
Dynamic Thermal Management through Task Scheduling. |
ISPASS |
2008 |
DBLP DOI BibTeX RDF |
|
39 | Prasanth Mangalagiri, Sungmin Bae, Krishnan Ramakrishnan, Yuan Xie 0001, Vijaykrishnan Narayanan |
Thermal-aware reliability analysis for platform FPGAs. |
ICCAD |
2008 |
DBLP DOI BibTeX RDF |
|
39 | Yu Zhong, Martin D. F. Wong |
Thermal-Aware IR Drop Analysis in Large Power Grid. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
IR drop analysis, power grid, thermal |
39 | Venumadhav Korampally, Shantanu Bhattacharya, Yuanfang Gao, Sheila A. Grant, Steven B. Kleiboeker, Keshab Gangopadhyay, Jinglu Tan, Shubhra Gangopadhyay |
Optimization of Fabrication Process for a PDMS-SOG-Silicon Based PCR Micro Chip through System Identification Techniques. |
CBMS |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Katie Seaborn, Alissa Nicole Antle |
The tiresias effect: feedforward using light versus temperature in a tangible user interface. |
CHI Extended Abstracts |
2010 |
DBLP DOI BibTeX RDF |
tangible user interfaces, temperature, feedforward |
37 | Tao Lu, Martti Viljanen |
Prediction of indoor temperature and relative humidity using neural network models: model comparison. |
Neural Comput. Appl. |
2009 |
DBLP DOI BibTeX RDF |
Indoor relative humidity prediction, Indoor temperature prediction, NNARX model, Genetic algorithm, Neural networks, Model validation |
37 | Changyin Sun, Jinya Song, Linfeng Li, Ping Ju |
Implementation of hybrid short-term load forecasting system with analysis of temperature sensitivities. |
Soft Comput. |
2008 |
DBLP DOI BibTeX RDF |
Fuzzy support vector regression, Linear extrapolation, Similar day, Hybrid load forecasting, Temperature sensitivities |
37 | Gang Quan, Yan Zhang, William Wiles, Pei Pei |
Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint. |
CODES+ISSS |
2008 |
DBLP DOI BibTeX RDF |
maximal temperature, real-time scheduling, energy consumption, thermal aware |
37 | Sherif A. Tawfik, Volkan Kursun |
Dual signal frequencies and voltage levels for low power and temperature-gradient tolerant clock distribution. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
dual-Vth, supply voltage scaling, temperature variations, clock skew, frequency scaling, dual-VDD |
37 | Hamid Noori, Maziar Goudarzi, Koji Inoue, Kazuaki J. Murakami |
The effect of temperature on cache size tuning for low energy embedded systems. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
embedded systems, cache memory, low energy, leakage current, temperature-aware design |
Displaying result #1 - #100 of 16443 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|