|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1025 occurrences of 550 keywords
|
|
|
Results
Found 755 publication records. Showing 755 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
83 | Xiaohan Qin, Jean-Loup Baer |
A comparative study of conservative and optimistic trace-driven simulations. |
Annual Simulation Symposium |
1995 |
DBLP DOI BibTeX RDF |
optimistic trace-driven simulations, conservative trace-driven simulations, parallel trace driven simulation, parallel simulation schemes, shared references, Time Warp like algorithm, debugging effort, general architectural simulation, optimistic scheme, parallel algorithms, parallel architectures, virtual machines, multiprocessing systems, multiprocessor systems, memory hierarchy, memory architecture, comparative study, time warp simulation |
76 | Richard Uhlig, Trevor N. Mudge |
Trace-Driven Memory Simulation: A Survey. |
Performance Evaluation |
2000 |
DBLP DOI BibTeX RDF |
|
76 | Ching-Farn Eric Wu, Yew-Huey Liu, Yarsun Hsu |
Timestamp consistency and trace-driven analysis for distributed parallel systems. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
IBM computers, timestamp consistency, trace-driven analysis, distributed parallel systems, continuous event data stream, parallel program execution progress, separate streams, logical event order, local clock discrepancy, performance analysis techniques, IBM SPn systems, system events, minimal trace overhead, trace-driven analysis tools, NAS kernel benchmarks, performance evaluation, parallel processing, message passing, message passing, timing, parallel machines, clocks, system monitoring, integrated approach, multiple processors |
67 | Pradeep K. Dubey, Ravi Nair |
Profile-Driven Generation of Trace Samples. |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
performance analysis, profiling, Trace driven simulation, trace generation |
66 | Xiaofeng Gao 0003, Allan Snavely, Larry Carter |
Path Grammar Guided Trace Compression and Trace Approximation. |
HPDC |
2006 |
DBLP DOI BibTeX RDF |
path grammar guided trace compression, trace approximation, PGGTC, Sequitur algorithm, selective dumping, memory signature, parallel computer, computer architecture, parallel machine, trace-driven simulation, cache storage |
63 | Rahman Hassan, Antony Harris, Nigel P. Topham, Aristides Efthymiou |
Synthetic Trace-Driven Simulation of Cache Memory. |
AINA Workshops (1) |
2007 |
DBLP DOI BibTeX RDF |
|
63 | Richard Uhlig, Trevor N. Mudge |
Trace-Driven Memory Simulation: A Survey. |
ACM Comput. Surv. |
1997 |
DBLP DOI BibTeX RDF |
memory simulation, caches, memory management, trace-driven simulation, TLBs |
50 | Ravi Bhargava, Lizy Kurian John, Francisco Matus |
Accurately modeling speculative instruction fetching in trace-driven simulation. |
IPCCC |
1999 |
DBLP DOI BibTeX RDF |
|
48 | Onur Aciiçmez, Çetin Kaya Koç |
Trace-Driven Cache Attacks on AES (Short Paper). |
ICICS |
2006 |
DBLP DOI BibTeX RDF |
trace-driven attacks, AES, Side-channel Analysis, cache attacks |
47 | Angela Sampogna, David R. Kaeli, Daniel Green, Michael Silva, Christopher J. Sniezek |
Performance Modeling Using Object-Oriented Execution-Driven Simulation. |
Annual Simulation Symposium |
1996 |
DBLP DOI BibTeX RDF |
|
45 | Han Wan, Xiaopeng Gao, Xiang Long, Zhiqiang Wang |
GCSim: A GPU-Based Trace-Driven Simulator for Multi-level Cache. |
APPT |
2009 |
DBLP DOI BibTeX RDF |
parallel algorithms, caches, GPGPU, CUDA, trace-driven simulation |
45 | Wei Wang, Qigang Wang, Wei Wei, Dong Liu |
Evaluating Heterogeneous Memory Model by Realistic Trace-Driven Hardware/Software Co-simulation. |
Euro-Par |
2008 |
DBLP DOI BibTeX RDF |
Performance model, Memory architecture, Trace-driven simulation |
45 | Cosimo Antonio Prete, Gianpaolo Prina, Luigi M. Ricciardi |
A Trace-Driven Simulator for Performance Evaluation of Cache-Based Multiprocessor Systems. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
multiple cache consistency, performance analysis, multiprocessor, Cache memory, trace-driven simulation, coherence protocol |
45 | David M. Nicol, Albert G. Greenberg, Boris D. Lubachevsky |
Massively Parallel Algorithms for Trace-Driven Cache Simulations. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
massively parallel algorithms, trace-driven cache simulations, least-recently-used policy, EREW parallel model, algorithm timings, reference-based line replacement policies, least-frequently-used policy, random replacement policy, space overhead, SIMD implementation, parallel algorithms, computational complexity, parallel architectures, trace, buffer storage, program diagnostics, simulation algorithm, MasPar MP-1 |
45 | Craig B. Stunkel, W. Kent Fuchs |
TRAPEDS: Producing Traces for Multicomputers Via Execution Driven Simulation. |
SIGMETRICS |
1989 |
DBLP DOI BibTeX RDF |
Intel 80386 |
43 | Dohyung Kim 0007, Youngmin Yi, Soonhoi Ha |
Trace-driven HW/SW cosimulation using virtual synchronization technique. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
trace-driven cosimulation, virtual synchronization |
41 | Anant Agarwal, Minor Huffman |
Blocking: Exploiting Spatial Locality for Trace Compaction. |
SIGMETRICS |
1990 |
DBLP DOI BibTeX RDF |
|
40 | Sanjeev Setia |
Trace-driven Analysis of Migration-based Gang Scheduling Policies for Parallel Computers. |
ICPP |
1997 |
DBLP DOI BibTeX RDF |
parallel supercomputers, performance evaluation, Job scheduling, trace-driven simulation, gang-scheduling |
40 | Songnian Zhou |
A Trace-Driven Simulation Study of Dynamic Load Balancing. |
IEEE Trans. Software Eng. |
1988 |
DBLP DOI BibTeX RDF |
homogeneous distributed systems, job transfer, job response times, scheduling, scheduling, broadcasting, distributed databases, dynamic load balancing, trace-driven simulation, CPU, input/output, input-output programs, message exchange |
40 | Wei Jin, Xiaobai Sun, Jeffrey S. Chase |
FastSlim: prefetch-sfe trace reduction for I/O cache simulation. |
ACM Trans. Model. Comput. Simul. |
2001 |
DBLP DOI BibTeX RDF |
I/O architectures, performance evaluation, operating systems, prefetching, virtual memory, trace-driven simulation, file caching, trace reduction |
40 | Aleksandar Milenkovic, Milena Milenkovic |
An efficient single-pass trace compression technique utilizing instruction streams. |
ACM Trans. Model. Comput. Simul. |
2007 |
DBLP DOI BibTeX RDF |
Instruction and data traces, instruction streams, trace compression |
40 | Andrew B. Kahng, Bill Lin 0001, Kambiz Samadi, Rohit Sunkam Ramanujam |
Trace-driven optimization of networks-on-chip configurations. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
networks-on-chip, virtual channel, greedy heuristics |
38 | Han Wan, Xiaopeng Gao, Zhiqiang Wang |
Cache simulator based on GPU acceleration. |
SimuTools |
2009 |
DBLP DOI BibTeX RDF |
trace-driven, multi-core, GPGPU, CUDA, cache simulator |
38 | Niki C. Thornock, J. Kelly Flanagan |
A national trace collection and distribution resource. |
SIGARCH Comput. Archit. News |
2001 |
DBLP DOI BibTeX RDF |
|
38 | Nicholas Ironmonger |
Trace-Splitting for the Parallel Simulation of Cache Memory. |
PARLE |
1993 |
DBLP DOI BibTeX RDF |
|
36 | Stephen R. Goldschmidt, John L. Hennessy |
The Accuracy of Trace-Driven Simulations of Multiprocessors. |
SIGMETRICS |
1993 |
DBLP DOI BibTeX RDF |
|
36 | Mustafa M. Tikir, Michael Laurenzano, Laura Carrington, Allan Snavely |
PSINS: An Open Source Event Tracer and Execution Simulator for MPI Applications. |
Euro-Par |
2009 |
DBLP DOI BibTeX RDF |
Message Passing Applications, High Performance Computing, Performance Prediction, Supercomputers, Trace-Driven Simulation |
36 | Anthony-Trung Nguyen, Pradip Bose, Kattamuri Ekanadham, Ashwini K. Nanda, Maged M. Michael |
Accuracy and Speedup of Parallel Trace-Driven Architectural Simulation. |
IPPS |
1997 |
DBLP DOI BibTeX RDF |
|
36 | Mark A. Holliday, Carla Schlatter Ellis |
Accuracy of Memory Reference Traces of Parallel Computations in Trace-Driven Simulation. |
IEEE Trans. Parallel Distributed Syst. |
1992 |
DBLP DOI BibTeX RDF |
load sequences, memory reference traces, trace-drive simulation, global trace, shared memory multiprocessingenvironment, address change points, address affecting points, process traces, intrinsic trace, address flow graph, store sequences, partial program reexecution, graph-traceable, parallel program, parallel programming, parallel computations, memory management, storage management, memory architecture, path expressions |
35 | Yusuke Toji, Shingo Ata, Ikuo Oka |
On the Use of Anonymized Trace Data for Performance Evaluation in IP Routers. |
APNOMS |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Sangyeun Cho, Socrates Demetriades, Shayne Evans, Lei Jin 0002, Hyunjin Lee, Kiyeon Lee, Michael Moeng |
TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation. |
ICPP |
2008 |
DBLP DOI BibTeX RDF |
|
34 | Stephen J. Walsh, John A. Board |
Pollution control caching. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
pollution control caching, high speed processors, bandwidth mismatch, standard DRAMS, on-chip caches, miss ratio statistics, expected clock cycles per instruction, main memory latencies, PCC+VB, discrete event simulation, memory architecture, trace driven simulation, cache storage, memory performance, ANOVA, DRAM chips |
34 | Thomas M. Conte, Kishore N. Menezes, Sumedh W. Sathaye |
A technique to determine power-efficient, high-performance superscalar processors. |
HICSS (1) |
1995 |
DBLP DOI BibTeX RDF |
high-performance superscalar processors, processor performance advances, thermal power dissipation, architectural power estimates, systematic techniques, user benchmarks, architectural component, real estate usage, superscalar execution units, architectural power measurement, near-optimal search, power-efficient superscalar processors, performance evaluation, parallel architectures, simulated annealing, simulated annealing, parallel machines, power consumption, trace-driven simulation |
34 | Jason P. Casmira, John Fraser, David R. Kaeli, Waleed Meleis |
Operating System Impact on Trace-Driven Simulation. |
Annual Simulation Symposium |
1998 |
DBLP DOI BibTeX RDF |
|
34 | Luca P. Carloni, Patrick C. McGeer, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli |
Trace driven logic synthesis - application to power minimization. |
ICCAD |
1997 |
DBLP DOI BibTeX RDF |
Low Power, Logic Synthesis |
33 | Ben Lauwens, Jan Potemans, Bart Scheers, Antoine Van de Capelle |
Hybrid simulation of a FIFO queuing system with trace-driven background traffic. |
VALUETOOLS |
2007 |
DBLP DOI BibTeX RDF |
FIFO queuing system, trace-driven, simulation, large deviations, hybrid simulation |
33 | Zhao Wu, Wayne H. Wolf |
Trace-Driven Studies of VLIW Video Signal Processors. |
SPAA |
1998 |
DBLP DOI BibTeX RDF |
VSP, trace-driven scheduling, parallelism, parallel architecture, MPEG, VLIW, media processor, video applications |
33 | Dominique Thiébaut, Joel L. Wolf, Harold S. Stone |
Synthetic Traces for Trace-Driven Simulation of Cache Memories. |
IEEE Trans. Computers |
1992 |
DBLP DOI BibTeX RDF |
synthetic address traces, infinite address-space, synthetic traces, random walk, digital simulation, cache memories, memory architecture, trace-driven simulation, buffer storage, content-addressable storage |
33 | Wen-Hann Wang, Jean-Loup Baer |
Efficient Trace-Driven Simulation Methods for Cache Performance Analysis. |
ACM Trans. Comput. Syst. |
1991 |
DBLP DOI BibTeX RDF |
cache memory, trace-driven simulation, trace reduction |
32 | Harit Modi, Lawrence Spracklen, Yuan Chou, Santosh G. Abraham |
Accurate Modeling of Aggressive Speculation in Modern Microprocessor Architectures. |
MASCOTS |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Yiannakis Sazeides, Stamatis Vassiliadis, James E. Smith 0001 |
The Performance Potential of Data Dependence Speculation & Collapsing. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
address generation-load dependences, address prediction rate, base instruction level parallel machine, dependence collapsing, performance potential, true data dependences, parallel programming, trace-driven simulation, data dependence speculation, address prediction |
31 | Vidyadhar Phalke, B. Gopinath |
An Inter-Reference Gap Model for Temporal Locality in Program Behavior. |
SIGMETRICS |
1995 |
DBLP DOI BibTeX RDF |
memory replacement, trace compaction, prediction, Markov chains, trace driven simulation, dynamic memory management, locality of reference |
29 | Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas |
The Augmint multiprocessor simulation toolkit for Intel x86 architectures. |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors |
29 | Richard Uhlig, David Nagle, Trevor N. Mudge, Stuart Sechrest |
Trap-driven Simulation with Tapeworm II. |
ASPLOS |
1994 |
DBLP DOI BibTeX RDF |
trap-driven simulation, cache, trace-driven simulation, memory system, TLB |
29 | Poul E. Heegaard, Bjarne E. Helvik, Ragnar Ø. Andreassen |
Application of rare event techniques to trace driven simulation. |
WSC |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Tao Wang 0004, Qigang Wang, Dong Liu, Michael Liao, Kevin Wang, Lu Cao, Li Zhao 0002, Ravi R. Iyer 0001, Ramesh Illikkal, John Du, Liang Wang |
Hardware/Software Co-Simulation for Last Level Cache Exploration. |
NAS |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Thomas Ball, James R. Larus |
Optimally Profiling and Tracing Programs. |
POPL |
1992 |
DBLP DOI BibTeX RDF |
|
29 | David A. Wood 0001, Mark D. Hill, Richard E. Kessler |
A Model for Estimating Trace-Sample Miss Ratios. |
SIGMETRICS |
1991 |
DBLP DOI BibTeX RDF |
|
26 | Jidong Zhai, Wenguang Chen, Weimin Zheng |
PHANTOM: predicting performance of parallel applications on large-scale parallel machines using a single node. |
PPoPP |
2010 |
DBLP DOI BibTeX RDF |
performance prediction, trace-driven simulation, parallel application, deterministic replay |
26 | Rachid El Abdouni Khayari, Adisa Musovic, Axel Lehmann 0001, Peter Fellinger |
A workload based adaptive scheduling algorithm for web server. |
SpringSim |
2009 |
DBLP DOI BibTeX RDF |
web workload, scheduling, web server, trace driven simulation, adaptive methods |
26 | Abdolreza Abhari, Adam Serbinski, Miso Gusic |
Improving the performance of Apache web server. |
SpringSim (1) |
2007 |
DBLP BibTeX RDF |
web page structure, web server, web caching, trace driven simulation, proxy cache |
26 | Mingwei Gong, Carey L. Williamson |
Simulation Evaluation of Hybrid SRPT Scheduling Policies. |
MASCOTS |
2004 |
DBLP DOI BibTeX RDF |
Scheduling, Performance Analysis, Trace-Driven Simulation, Web Server Performance |
26 | Li Xiao 0001, Songqing Chen, Xiaodong Zhang 0001 |
Dynamic Cluster Resource Allocations for Jobs with Known and Unknown Memory Demands. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
memory-intensive workloads and trace-driven simulations, distributed systems, cluster computing, load sharing |
26 | Caroline Benveniste, Peter A. Franaszek, John T. Robinson |
Cache-Memory Interfaces in Compressed Memory Systems. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
memory compression, performance analysis, trace-driven simulation, cache design, Memory system design |
26 | Donghee Lee 0001, Jongmoo Choi, Jong-Hun Kim, Sam H. Noh, Sang Lyul Min, Yookun Cho, Chong-Sang Kim |
LRFU: A Spectrum of Policies that Subsumes the Least Recently Used and Least Frequently Used Policies. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
LFU, trace-driven simulation, replacement policy, LRU, Buffer cache |
26 | Li Xiao 0001, Xiaodong Zhang 0001, Yanxia Qu |
Effective Load Sharing on Heterogeneous Networks of Workstations. |
IPDPS |
2000 |
DBLP DOI BibTeX RDF |
load sharing networks of workstations, remote executions and trace-driven simulations, distributed computing, heterogeneous systems |
26 | Mor Harchol-Balter, Allen B. Downey |
Exploiting Process Lifetime Distributions for Dynamic Load Balancing. |
ACM Trans. Comput. Syst. |
1997 |
DBLP DOI BibTeX RDF |
load balancing, migration, network of workstations, trace-driven simulation, load sharing, workload modeling, Pareto distribution, remote execution, heavy-tailed |
26 | P. Bosch, A. Carloganu, Daniel Etiemble |
Complete x86 instruction trace generation from hardware bus collect. |
EUROMICRO |
1997 |
DBLP DOI BibTeX RDF |
complete x86 instruction trace generation, hardware bus collect, architectural improvements, benchmark traces, hardware/software approach, x86 execution traces, commercial analyzer, computer architecture, microprocessors, memory hierarchies, trace driven simulation, performance data |
26 | Yunn Yen Chen, Jih-Kwon Peir, Chung-Ta King |
Performance of Shared Cache on Multithreaded Architectures. |
PDP |
1996 |
DBLP DOI BibTeX RDF |
shared cache performance, trace-driven simulation technique, storage hierarchy system, multithreaded execution environment, multithread scheduling techniques, server/workstation workload mix, MRU priority scheduling scheme, round-robin scheduling method, absolute hit ratio, concurrent threads, simulation, performance evaluation, parallel architectures, shared memory systems, processor scheduling, cache storage, multithreaded architectures, program traces, set associativity, cache size, direct-map cache |
26 | Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye |
Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. |
MICRO |
1996 |
DBLP DOI BibTeX RDF |
TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures |
26 | Roger Espasa, Mateo Valero |
Decoupled Vector Architectures. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
decoupled vector architectures, trace driven approach, Perfect Club programs, realistic memory latencies, bypassing technique, total memory traffic, performance advantages, performance evaluation, performance, vector processor, vector processor systems, hardware cost |
26 | Sigrún Andradóttir, Teunis J. Ott |
Time-Segmentation Parallel Simulation of Networks of Queues with Loss or Communication Blocking. |
ACM Trans. Model. Comput. Simul. |
1995 |
DBLP DOI BibTeX RDF |
communication blocking, initialization bias problem, time segmentation, coupling, parallel simulation, trace-driven simulation, communication systems, queueing systems, loss |
26 | Bernd Mohr, Allen D. Malony, Kesavan Shanmugam |
Speedy: An Integrated Performance Extrapolation Tool for pC++ Programs. |
MMB |
1995 |
DBLP DOI BibTeX RDF |
object-parallel programming, performance debugging tools, modeling, performance prediction, trace-driven simulation, extrapolation |
26 | Gyungho Lee |
An assessment of COMA multiprocessors. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
Cache Only Memory Architecture, Perfect Club Benchmark Suite, coherence policy, performance evaluation, performance, discrete event simulation, memory hierarchy, shared memory systems, distributed memory systems, update, trace driven simulations, cache storage, network traffic, miss ratio, distributed shared memory multiprocessors, shared address space, invalidate |
26 | Qidong Xu, Patricia J. Teller |
Unified vs. split TLBs and caches in shared-memory MP systems. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
data references, performance evaluation, caches, discrete event simulation, shared-memory multiprocessors, shared memory systems, trace-driven simulations, cache storage, performance gains, translation-lookaside buffer |
26 | John-David Wellman, Edward S. Davidson |
The resource conflict methodology for early-stage design space exploration of superscalar RISC processors. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
resource conflict methodology, early-stage design space exploration, superscalar RISC processors, execution trace driven simulation, hardware element model, analysis program, performance evaluation, virtual machines, computer architecture, reduced instruction set computing, design cycle |
26 | Jen-Yao Chwng, Donald F. Ferguson, George Wang, Christos Nikolaou, Jim Teng |
Goal-oriented dynamic buffer pool management for data base systems. |
ICECCS |
1995 |
DBLP DOI BibTeX RDF |
goal-oriented dynamic buffer pool management, dynamic buffer pool size adjustment, multiple buffer pools, complex constrained optimization problem, random access response time goal, database workload, pre-defined response time goals, goal satisfaction algorithm, dynamic tuning, relational databases, optimisation, database management systems, transaction processing, storage management, trace driven simulations, buffer storage, virtual storage |
26 | David J. Lilja |
The Impact of Parallel Loop Scheduling Strategies on Prefetching in a Shared Memory Multiprocessor. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
parallel loop scheduling, numerical Fortran programs, single-word cache blocks, guidedself-scheduling, scheduling, parallel programming, prefetching, shared memory multiprocessor, shared memory systems, cache coherence, trace-driven simulations, buffer storage, data caches, memory performance, false sharing, performanceevaluation, cache pollution |
26 | Benjamin G. Zorn, Dirk Grunwald |
Evaluating Models of Memory Allocation. |
ACM Trans. Model. Comput. Simul. |
1994 |
DBLP DOI BibTeX RDF |
program behavior modeling, program measurement, trace-driven simulation, dynamic storage allocation, model evaluation |
26 | Siegfried Grabner, Dieter Kranzlmüller |
Monitoring for Detecing Bugs and Blocking Communication. |
CONPAR |
1994 |
DBLP DOI BibTeX RDF |
Distributed Memory Debugging, Communication Events, Monitoring, Trace Driven Simulation, Event Graph |
26 | Kumar K. Goswami, Murthy V. Devarakonda, Ravishankar K. Iyer |
Prediction-Based Dynamic Load-Sharing Heuristics. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
predicted resource requirements, distributed system, pattern recognition, distributed processing, trace driven simulations, load-sharing, resource prediction |
26 | David J. Lilja, Pen-Chung Yew |
Improving Memory Utilization in Cache Coherence Directories. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
memory utilization, cache coherence directories, large-scale shared memorymultiprocessors, software-directed schemes, compile-time memory disambiguation, dynamically tagged directory, high-level sharing information, configurationmanagement, discrete event simulation, compiler optimizations, program compilers, shared memory systems, trace-driven simulations, buffer storage, storage allocation, interprocedural analysis |
26 | Erhard Rahm |
Empirical Performance Evaluation of Concurrency and Coherency Control Protocols for Database Sharing Systems. |
ACM Trans. Database Syst. |
1993 |
DBLP DOI BibTeX RDF |
coherency control, database partitioning, database sharing, performance analysis, concurrency control, trace-driven simulation, shared nothing, shared disk |
26 | Sang Lyul Min, Jean-Loup Baer |
Design and Analysis of a Scalable Cache Coherence Scheme Based on Clocks and Timestamps. |
IEEE Trans. Parallel Distributed Syst. |
1992 |
DBLP DOI BibTeX RDF |
cache contents reuse, scalable cache coherence, multiple privatecaches, compile-time marking, hardware-based local incoherence detection, program flow, parallel programming, shared memory multiprocessors, storage management, clocks, trace-driven simulation, buffer storage, timestamps, references |
26 | Bryan Black, Andrew S. Huang, Mikko H. Lipasti, John Paul Shen |
Can Trace-Driven Simulators Accurately Predict Superscalar Performance? |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
|
26 | Jiun-Ming Hsu, Prithviraj Banerjee |
Performance Measurement and Trace Driven Simulation of Parallel CAD and Numeric Applications on a Hypercube Multicomputer. |
IEEE Trans. Parallel Distributed Syst. |
1992 |
DBLP DOI BibTeX RDF |
parallel CAD, realistic workloads, time interval distributions, statistical functions, nonlinear regression technique, message destinations, trace-drive simulation environment, performance evaluation, performance evaluation, parallel programs, parallel programming, benchmarks, statistical analysis, hypercube networks, digital simulation, workload characterization, execution traces, temporal locality, spatial locality, hypercube multicomputer, software monitoring, DMA, message length, link utilizations |
26 | Wen-Hann Wang, Jean-Loup Baer |
Efficient Trace-Driven Simulation Methods for Cache Performance Analysis. |
SIGMETRICS |
1990 |
DBLP DOI BibTeX RDF |
|
26 | Pierre Michaud |
Online compression of cache-filtered address traces. |
ISPASS |
2009 |
DBLP DOI BibTeX RDF |
|
24 | Patrício Domingues, Paulo Marques, Luís Moura Silva |
DGSchedSim: A Trace-Driven Simulator to Evaluate Scheduling Algorithms for Desktop Grid Environments. |
PDP |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Roberto Giorgi, Cosimo Antonio Prete, Gianpaolo Prina, Luigi M. Ricciardi |
Trace Factory: generating workloads for trace-driven simulation of shared-bus multiprocessors. |
IEEE Concurrency |
1997 |
DBLP DOI BibTeX RDF |
|
24 | J. Kelly Flanagan, Brent E. Nelson, James K. Archibald, Gregory D. Thompson |
The Inaccuracy of Trace-Driven Simulation Using Incomplete Mulitprogramming Trace Data. |
MASCOTS |
1996 |
DBLP DOI BibTeX RDF |
|
24 | J. Kelly Flanagan, Brent E. Nelson, James K. Archibald, Knuth Stener Grimsrud |
Incomplete Trace Data and Trace Driven Simulation. |
MASCOTS |
1993 |
DBLP BibTeX RDF |
|
22 | Jian-Guang Luo, Qian Zhang 0001, Yun Tang, Shi-Qiang Yang |
A Trace-Driven Approach to Evaluate the Scalability of P2P-Based Video-on-Demand Service. |
IEEE Trans. Parallel Distributed Syst. |
2009 |
DBLP DOI BibTeX RDF |
|
22 | Youngmin Yi, Dohyung Kim 0007, Soonhoi Ha |
Fast and Accurate Cosimulation of MPSoC Using Trace-Driven Virtual Synchronization. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Bill Anderson |
Mass Storage System Performance Prediction Using a Trace-Driven Simulator. |
MSST |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Rachid El Abdouni Khayari, Michael Best, Axel Lehmann 0001 |
Impact of Document Types on the Performance of Caching Algorithms in WWW Proxies: A Trace Driven Simulation Study. |
AINA |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Dingqing Hu, Changsheng Xie, Bin Cai |
A Study of Parallel Prefetching Algorithms Using Trace-Driven Simulation. |
PDCAT |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Jack P. C. Kleijnen, Russell C. H. Cheng, Bert Bettonvil |
VV&A; IV: validation of trace-driven simulation models: more on bootstrap tests. |
WSC |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Prabuddha Biswas, K. K. Ramakrishnan |
Trace Driven Analysis of Write Caching Policies for Disks. |
SIGMETRICS |
1993 |
DBLP DOI BibTeX RDF |
|
21 | Kiyeon Lee, Shayne Evans, Sangyeun Cho |
Accurately approximating superscalar processor performance from traces. |
ISPASS |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Xianfeng Li, Hemendra Singh Negi, Tulika Mitra, Abhik Roychoudhury |
Design space exploration of caches using compressed traces. |
ICS |
2004 |
DBLP DOI BibTeX RDF |
compressed trace, single pass simulation, cache, design space exploration |
21 | Elizabeth A. M. Shriver, Bruce Hillyer, Abraham Silberschatz |
Performance Analysis of Storage Systems. |
Performance Evaluation |
2000 |
DBLP DOI BibTeX RDF |
|
21 | Niki C. Thornock, J. Kelly Flanagan |
Facilitating level three cache studies using set sampling. |
WSC |
2000 |
DBLP DOI BibTeX RDF |
|
19 | Sujoy Basu, Sumit Roy 0002, Raj Kumar, Tom Fisher, Bruce E. Blaho |
Peppermint and Sled: Tools for Evaluating SMP Systems Based on IA-64 (IPF) Processors. |
IPDPS |
2002 |
DBLP DOI BibTeX RDF |
simulation, architecture, IA-64 |
19 | Jeffrey B. Rothman, Alan Jay Smith |
Multiprocessor Memory Reference Generation Using Cerberus. |
MASCOTS |
1999 |
DBLP DOI BibTeX RDF |
Multiprocessor Memory References, Execution Driven Simulation, Program Tracing |
19 | Derek B. Noonburg, John Paul Shen |
A Framework for Statistical Modeling of Superscalar Processor Performance. |
HPCA |
1997 |
DBLP DOI BibTeX RDF |
|
19 | Anant Agarwal, Mark Horowitz, John L. Hennessy |
An Analytical Cache Model. |
ACM Trans. Comput. Syst. |
1989 |
DBLP DOI BibTeX RDF |
|
19 | Kiyeon Lee, Sangyeun Cho |
In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces. |
MASCOTS |
2011 |
DBLP DOI BibTeX RDF |
Superscalar out-of-order processor, performance modeling, trace-driven simulation |
19 | Andreas Riener |
Simulating On-the-Road Behavior Using a Driving Simulator. |
ACHI |
2010 |
DBLP DOI BibTeX RDF |
driving experiments, driver-vehicle interaction (DVI), feedback modalities, performance evaluation, user-centered design, trace-driven simulation |
19 | Xin Liu 0027, Anwitaman Datta |
Redundancy Maintenance and Garbage Collection Strategies in Peer-to-Peer Storage Systems. |
SSS |
2009 |
DBLP DOI BibTeX RDF |
peer-to-peer storage-systems, redundancy maintenance, garbage collection, trace-driven simulations |
Displaying result #1 - #100 of 755 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ >>] |
|