|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 97 occurrences of 77 keywords
|
|
|
Results
Found 105 publication records. Showing 105 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
90 | Farnaz Mounes-Toussi, David J. Lilja |
Write buffer design for cache-coherent shared-memory multiprocessors. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies |
76 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. |
ISQED |
2009 |
DBLP DOI BibTeX RDF |
|
65 | Seung-Ho Lim, Sung Hoon Baek, Joo Young Hwang, Kyu Ho Park |
Write Back Routine for JFFS2 Efficient I/O. |
EUC |
2006 |
DBLP DOI BibTeX RDF |
|
50 | Wee Teck Ng, Peter M. Chen |
The Systematic Improvement of Fault Tolerance in the Rio File Cache. |
FTCS |
1999 |
DBLP DOI BibTeX RDF |
write-back file cache, reliable memory, software fault injection |
46 | Haakon Dybdahl, Marius Grannæs, Lasse Natvig |
Cache Write-Back Schemes for Embedded Destructive-Read DRAM. |
ARCS |
2006 |
DBLP DOI BibTeX RDF |
|
41 | Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell |
The Rio File Cache: Surviving Operating System Crashes. |
ASPLOS |
1996 |
DBLP DOI BibTeX RDF |
|
38 | James G. Thompson, Alan Jay Smith |
Efficient (Stack) Algorithms for Analysis of Write-Back and Sector Machines. |
ACM Trans. Comput. Syst. |
1989 |
DBLP DOI BibTeX RDF |
|
34 | Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu |
A Log-Based Write-Back Mechanism for Cooperative Caching. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching |
33 | Peng Li 0031, Dongsheng Wang 0002, Songliu Guo, Tao Tian, Weimin Zheng |
Live Range Aware Cache Architecture. |
Asia-Pacific Computer Systems Architecture Conference |
2006 |
DBLP DOI BibTeX RDF |
Live Range, Cache, Memory Hierarchy |
33 | Shen-Fu Hsiao, Sze-Yun Lin, Tze-Chong Cheng, Ming-Yu Tsai |
An Automatic Cache Generator Based on Content-Addressable Memory. |
APCCAS |
2006 |
DBLP DOI BibTeX RDF |
|
32 | Yu-Pei Liang, Tseng-Yi Chen, Yuan-Hao Chang 0001, Shuo-Han Chen, Pei-Yu Chen, Wei-Kuan Shih |
Rethinking Last-level-cache Write-back Strategy for MLC STT-RAM Main Memory with Asymmetric Write Energy. |
ISLPED |
2019 |
DBLP DOI BibTeX RDF |
|
32 | Ghasem Pasandi, Massoud Pedram |
Internal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs. |
IET Circuits Devices Syst. |
2018 |
DBLP DOI BibTeX RDF |
|
32 | Nam Sung Kim, Trevor N. Mudge |
The microarchitecture of a low power register file. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
30 | Qi Zhao 0006, Jun (Jim) Xu, Zhen Liu |
Design of a novel statistics counter architecture with optimal space and time efficiency. |
SIGMETRICS/Performance |
2006 |
DBLP DOI BibTeX RDF |
statistics counter, data streaming, router |
29 | Javier García Blas, Florin Isaila, Jesús Carretero 0001, Robert Latham, Robert B. Ross |
Multiple-Level MPI File Write-Back and Prefetching for Blue Gene Systems. |
PVM/MPI |
2009 |
DBLP DOI BibTeX RDF |
Parallel I/O, Supercomputers, Parallel File Systems, MPI-IO |
29 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Fault Tolerant and Low Energy Write-Back Heterogeneous Set Associative Cache for DSM Technologies. |
ARES |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Wei Zhang 0002 |
Computing Cache Vulnerability to Transient Errors and Its Implication. |
DFT |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Wee Teck Ng, Peter M. Chen |
The Design and Verification of the Rio File Cache. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
reliable main memory, File systems, software fault injection |
27 | Jeanna N. Matthews, Sanjeev N. Trika, Debra Hensgen, Rick Coulson, Knut Grimsrud |
Intel Turbo Memory: Nonvolatile disk caches in the storage hierarchy of mainstream computer systems. |
ACM Trans. Storage |
2008 |
DBLP DOI BibTeX RDF |
NAND, write-back, solid-state disk, disk cache, Nonvolatile memory |
27 | Wei Zhang 0002 |
Replication Cache: A Small Fully Associative Cache to Improve Data Cache Reliability. |
IEEE Trans. Computers |
2005 |
DBLP DOI BibTeX RDF |
write-back cache, in-cache replication, Soft error |
27 | Wei Zhang 0002 |
Enhancing data cache reliability by the addition of a small fully-associative replication cache. |
ICS |
2004 |
DBLP DOI BibTeX RDF |
in-cache replication, write-back cache, soft error |
27 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation |
ACM Trans. Comput. Syst. |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
27 | Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu |
Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. |
IEEE Trans. Computers |
1989 |
DBLP DOI BibTeX RDF |
packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse |
23 | Jongmin Lee 0002, Soontae Kim |
An energy-delay efficient 2-level data cache architecture for embedded system. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
2-level data cache, early cache hit predictor, one-way write |
23 | Timothy P. Mann, Andrew Birrell, Andy Hisgen, Charles Jerian, Garret Swart |
A Coherent Distributed File Cache with Directory Write-Behind. |
ACM Trans. Comput. Syst. |
1994 |
DBLP DOI BibTeX RDF |
write-behind, coherence, file caching |
20 | Wenjun Tang, Mingyen Lee, Juejian Wu, Yixin Xu, Yao Yu, Yongpan Liu, Kai Ni 0004, Yu Wang 0002, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li |
FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access With Reduced Bitline Charging Activity and Recycled Bitline Charge. |
IEEE Trans. Circuits Syst. I Regul. Pap. |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Siyuan Sheng, Huancheng Puyang, Qun Huang 0001, Lu Tang 0004, Patrick P. C. Lee |
FarReach: Write-back Caching in Programmable Switches. |
USENIX Annual Technical Conference |
2023 |
DBLP BibTeX RDF |
|
20 | Jongmin Lee, Jun-Yeon Lee, Taeweon Suh, Gunjae Koo |
CacheRewinder: Revoking Speculative Cache Updates Exploiting Write-Back Buffer. |
DATE |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Yingjin Qian, Wen Cheng, Lingfang Zeng, Marc-André Vef, Oleg Drokin, Andreas Dilger, Shuichi Ihara, Wusheng Zhang, Yang Wang 0006, André Brinkmann |
MetaWBC: POSIX-Compliant Metadata Write-Back Caching for Distributed File Systems. |
SC |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Shahram Ghandeharizadeh, Haoyu Huang, Hieu Nguyen |
Boosting OLTP Performance Using Write-Back Client-Side Caches. |
Trans. Large Scale Data Knowl. Centered Syst. |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Byungkyu Song, Sehee Lim, Seung-Hyuk Kang, Seong-Ook Jung |
Environmental-Variation-Tolerant Magnetic Tunnel Junction-Based Physical Unclonable Function Cell With Auto Write-Back Technique. |
IEEE Trans. Inf. Forensics Secur. |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Hiroaki Hirata, Atsushi Nunome |
Decoupling Computation and Result Write-Back for Thread-Level Parallelization. |
Int. J. Softw. Innov. |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Jiacheng Ni, Keren Liu, Bi Wu, Weisheng Zhao, Yuanqing Cheng, Xiaolong Zhang, Ying Wang 0001 |
Write Back Energy Optimization for STT-MRAM-based Last-level Cache with Data Pattern Characterization. |
ACM J. Emerg. Technol. Comput. Syst. |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Mingyen Lee, Wenjun Tang, Bowen Xue, Juejian Wu, Mingyuan Ma, Yu Wang 0002, Yongpan Liu, Deliang Fan, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li |
FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface. |
ISLPED |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Chenlin Ma, Zhaoyan Shen, Yi Wang 0003, Zili Shao |
Alleviating Hot Data Write Back Effect for Shingled Magnetic Recording Storage Systems. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Shahram Ghandeharizadeh, Hieu Nguyen |
Design, Implementation, and Evaluation of Write-Back Policy with Cache Augmented Data Stores. (PDF / PS) |
Proc. VLDB Endow. |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Robert I. Davis 0001, Sebastian Altmeyer, Jan Reineke 0001 |
Response-time analysis for fixed-priority systems with a write-back cache. |
Real Time Syst. |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Tseng-Yi Chen, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Ming-Chang Yang, Yu-Ming Chang, Pi-Cheng Hsiu |
Enhancing Flash Memory Reliability by Jointly Considering Write-back Pattern and Block Endurance. |
ACM Trans. Design Autom. Electr. Syst. |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Tobias Blaß, Sebastian Hahn 0001, Jan Reineke 0001 |
Write-Back Caches in WCET Analysis. |
ECRTS |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Atsushi Koshiba, Takahiro Hirofuchi, Soramichi Akiyama, Ryousei Takano, Mitaro Namiki |
Towards write-back aware software emulator for non-volatile memory. |
NVMSA |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Jörg Pfähler, Gidon Ernst, Stefan Bodenmüller, Gerhard Schellhorn, Wolfgang Reif |
Modular Verification of Order-Preserving Write-Back Caches. |
IFM |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Bo Wang 0020, Qi Li, Tony Tae-Hyoung Kim |
Read Bitline Sensing and Fast Local Write-Back Techniques in Hierarchical Bitline Architecture for Ultralow-Voltage SRAMs. |
IEEE Trans. Very Large Scale Integr. Syst. |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Robert I. Davis 0001, Sebastian Altmeyer, Jan Reineke 0001 |
Analysis of Write-back Caches under Fixed-priority Preemptive and Non-preemptive Scheduling. |
RTNS |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Hung-Sheng Chang, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Xiang-Zhi Huang, Tei-Wei Kuo, Hsiang-Pang Li |
Pattern-aware write-back strategy to minimize energy consumption of PCM-based storage systems. |
NVMSA |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Deshan Zhang, Lei Ju 0001, Mengying Zhao, Xiang Gao 0012, Zhiping Jia |
Write-back aware shared last-level cache management for hybrid main memory. |
DAC |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Matthew M. Kim, Karl M. Fant, Paul Beckett |
Design of asynchronous RISC CPU register-file Write-Back queue. |
VLSI-SoC |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Yohan Ko, Reiley Jeyapaul, Youngbin Kim, Kyoungwoo Lee, Aviral Shrivastava |
Guidelines to design parity protected write-back L1 data cache. |
DAC |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Wei Zhang 0032, Ki Chul Chun, Chris H. Kim |
A Write-Back-Free 2T1D Embedded DRAM With Local Voltage Sensing and a Dual-Row-Access Low Power Mode. |
IEEE Trans. Circuits Syst. I Regul. Pap. |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Qi Li, Bo Wang 0020, Tony T. Kim |
A 5.61 pJ, 16 kb 9T SRAM with single-ended equalized bitlines and fast local write-back for cell stability improvement. |
ESSDERC |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Wei Zhang 0032, Ki Chul Chun, Chris H. Kim |
A write-back-free 2T1D embedded DRAM with local voltage sensing and a dual-row-access low power mode. |
CICC |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Tahseen Shakir, Manoj Sachdev |
A read-assist write-back voltage sense amplifier for low voltage-operated SRAMs. |
SoCC |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Jui-Jen Wu, Yen-Hui Chen, Meng-Fan Chang, Po-Wei Chou, Chien-Yuan Chen, Hung-Jen Liao, Ming-Bin Chen, Yuan-Hua Chu, Wen-Chin Wu, Hiroyuki Yamauchi |
A Large Sigma V TH /VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme. |
IEEE J. Solid State Circuits |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta |
Making the Best of Temporal Locality: Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E. |
Int. J. High Perform. Comput. Appl. |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Javier García Blas, Florin Isaila, Jesús Carretero 0001, David E. Singh, Félix García Carballeira |
Implementation and Evaluation of File Write-Back and Prefetching for MPI-IO Over GPFS. |
Int. J. High Perform. Comput. Appl. |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Keiichi Kushida, Azuma Suzuki, Gou Fukano, Atsushi Kawasumi, Osamu Hirabayashi, Yasuhisa Takeyama, Takahiko Sasaki, Akira Katayama, Yuki Fujimura, Tomoaki Yabe |
A 0.7 V Single-Supply SRAM With 0.495 µm2 Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme. |
IEEE J. Solid State Circuits |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta |
Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E.. |
ICPP Workshops |
2009 |
DBLP DOI BibTeX RDF |
|
20 | |
Application of Metamorphic Methodologies on the Synthesizing Write-Back Caches. |
SSME |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Nam Sung Kim, Trevor N. Mudge |
Reducing register ports using delayed write-back queues and operand pre-fetch. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
20 | Yeonbae Chung, Byung-Gil Jeon, Kang-Deog Suh |
A 3.3-V, 4-Mb nonvolatile ferroelectric RAM with selectively driven double-pulsed plate read/write-back scheme. |
IEEE J. Solid State Circuits |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Yuguang Wu |
Evaluation of Write-Back Caches for Multiple Block-Sizes. |
MASCOTS |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, John C. Gyllenhaal, Wen-mei W. Hwu |
Speculative execution exception recovery using write-back suppression. |
MICRO |
1993 |
DBLP DOI BibTeX RDF |
exception detection, exception recovery, scheduling, VLIW, speculative execution, superscalar |
20 | Richard L. Norton, Jacob A. Abraham |
Using write back cache to improve performance of multi-user multiprocessors. |
ICPP |
1982 |
DBLP BibTeX RDF |
|
18 | Pierre Guironnet de Massas, Frédéric Pétrot |
Comparison of memory write policies for NoC based Multicore Cache Coherent Systems. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Jerry Hom, Ulrich Kremer |
Execution context optimization for disk energy. |
CASES |
2008 |
DBLP DOI BibTeX RDF |
synchronization, user study, multiprogramming, runtime adaptation |
18 | Peng Li 0031, Dongsheng Wang 0002, Haixia Wang 0001, Meijuan Lu, Weimin Zheng |
LIRAC: Using Live Range Information to Optimize Memory Access. |
ARCS |
2007 |
DBLP DOI BibTeX RDF |
LIRAC, Live Range, Cache, Memory Hierarchy, Write Buffer |
18 | Gregory R. Ganger, Marshall K. McKusick, Craig A. N. Soules, Yale N. Patt |
Soft updates: a solution to the metadata update problem in file systems. |
ACM Trans. Comput. Syst. |
2000 |
DBLP DOI BibTeX RDF |
|
18 | Sang Jeong Lee, Pen-Chung Yew |
On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. |
IEEE PACT |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Wei Zhang 0002 |
Computing and Minimizing Cache Vulnerability to Transient Errors. |
IEEE Des. Test Comput. |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Jairo Balart, Marc González 0001, Xavier Martorell, Eduard Ayguadé, Zehra Sura, Tong Chen 0001, Tao Zhang, Kevin O'Brien, Kathryn M. O'Brien |
A Novel Asynchronous Software Cache Implementation for the Cell-BE Processor. |
LCPC |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Lei Wang 0003, Niral Patel |
Reducing error accumulation effect in multithreaded memory systems. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Nien-Tsu Wang, Nam Ling |
A Novel Dual-Path Architecture for HDTV Video Decoding. |
Data Compression Conference |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Wen-Hann Wang, Jean-Loup Baer |
Efficient Trace-Driven Simulation Methods for Cache Performance Analysis. |
SIGMETRICS |
1990 |
DBLP DOI BibTeX RDF |
|
13 | Benjamin Atkin, Kenneth P. Birman |
Network-Aware Adaptation Techniques for Mobile File Systems. |
NCA |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Ravi Rajwar, Alain Kägi, James R. Goodman |
Inferential Queueing and Speculative Push. |
Int. J. Parallel Program. |
2004 |
DBLP DOI BibTeX RDF |
inferential queueing, migratory sharing, Synchronization, critical sections, data forwarding |
13 | Ravi Rajwar, Alain Kägi, James R. Goodman |
Inferential queueing and speculative push for reducing critical communication latencies. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
inferential queueing, synchronization, data forwarding |
13 | Peter M. Chen, David E. Lowell |
Reliability Hierarchies. |
Workshop on Hot Topics in Operating Systems |
1999 |
DBLP DOI BibTeX RDF |
delayed write, Rio, file systems, storage |
13 | Vijay S. Pai, Parthasarathy Ranganathan, Sarita V. Adve, Tracy Harton |
An Evaluation of Memory Consistency Models for Shared-Memory Systems with ILP Processors. |
ASPLOS |
1996 |
DBLP DOI BibTeX RDF |
|
13 | Kshitij Sudan, Niladrish Chatterjee, David W. Nellans, Manu Awasthi, Rajeev Balasubramonian, Al Davis |
Micro-pages: increasing DRAM efficiency with locality-aware data placement. |
ASPLOS |
2010 |
DBLP DOI BibTeX RDF |
dram row-buffer management, data placement |
13 | Kevin W. Froese, Richard B. Bunt |
Scheduling Write Backs for Weakly-Connected Mobile Clients. |
Computer Performance Evaluation (Tools) |
1998 |
DBLP DOI BibTeX RDF |
|
9 | Seetharami R. Seelam, I-Hsin Chung, John Bauer, Hao Yu 0008, Hui-Fang Wen |
Application level I/O caching on Blue Gene/P systems. |
IPDPS |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Julien Dusser, Thomas Piquet, André Seznec |
Zero-content augmented caches. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
null block, zero block, cache, compression |
9 | Lei Wang 0003, Niral Patel |
Improving Error Tolerance for Multithreaded Register Files. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
9 | D. E. Khalil, Muhammad M. Khellah, Nam-Sung Kim, Yehea I. Ismail, Tanay Karnik, Vivek K. De |
Accurate Estimation of SRAM Dynamic Stability. |
IEEE Trans. Very Large Scale Integr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Hassan Chafi, Jared Casper, Brian D. Carlstrom, Austen McDonald, Chi Cao Minh, Woongki Baek, Christos Kozyrakis, Kunle Olukotun |
A Scalable, Non-blocking Approach to Transactional Memory. |
HPCA |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir |
Microarchitectural Enhancements for Configurable Multi-Threaded Soft Processors. |
FPL |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Amitanand S. Aiyer, Lorenzo Alvisi, Rida A. Bazzi |
Bounded Wait-Free Implementation of Optimally Resilient Byzantine Storage Without (Unproven) Cryptographic Assumptions. |
DISC |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Hans Vandierendonck, Philippe Manet, Thibault Delavallee, Igor Loiselle, Jean-Didier Legat |
By-passing the out-of-order execution pipeline to increase energy-efficiency. |
Conf. Computing Frontiers |
2007 |
DBLP DOI BibTeX RDF |
instruction wake-up, energy-efficiency, instruction scheduling, out-of-order execution |
9 | Jason Cong, Guoling Han, Zhiru Zhang |
Architecture and Compiler Optimizations for Data Bandwidth Improvement in Configurable Processors. |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Ming Zhao 0002, Jian Zhang 0005, Renato J. O. Figueiredo |
Distributed File System Virtualization Techniques Supporting On-Demand Virtual Machine Environments for Grid Computing. |
Clust. Comput. |
2006 |
DBLP DOI BibTeX RDF |
grid computing, virtual machine, distributed file system |
9 | Hossein Asadi 0001, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli |
Vulnerability analysis of L2 cache elements to single event upsets. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Arul Sandeep Gade, Yul Chu |
A Case for Dual-Mapping One-Way Caches. |
ARCS |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Doina Logofatu, Rolf Drechsler |
Efficient Evolutionary Approaches for the Data Ordering Problem with Inversion. |
EvoWorkshops |
2006 |
DBLP DOI BibTeX RDF |
Data Ordering Problem, Transition Minimization, Optimization, Complexity, Low Power, Evolutionary Algorithms, Graph Theory, Digital Circuit Design |
9 | J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry |
The STAMPede approach to thread-level speculation. |
ACM Trans. Comput. Syst. |
2005 |
DBLP DOI BibTeX RDF |
cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing |
9 | Kenin Coloma, Alok N. Choudhary, Avery Ching, Wei-keng Liao, Seung Woo Son 0001, Mahmut T. Kandemir, Lee Ward |
Power and Performance in I/O for Scientific Applications. |
IPDPS |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Chang-Hyo Yu, Donghyun Kim, Lee-Sup Kim |
A 33.2M vertices/sec programmable geometry engine for multimedia embedded systems. |
ISCAS (5) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Lin Li 0002, Vijay Degalahal, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin |
Soft error and energy consumption interactions: a data cache perspective. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
energy-efficiency, soft error, data cache |
9 | Ming Zhao 0002, Jian Zhang 0005, Renato J. O. Figueiredo |
Distributed File System Support for Virtual Machines in Grid Computing. |
HPDC |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Nam Ling, Nien-Tsu Wang |
A Real-Time Video Decoder for Digital HDTV. |
J. VLSI Signal Process. |
2003 |
DBLP DOI BibTeX RDF |
HDTV video decoder, MPEG-2 MP@HL decoder, digital TV, HDTV, video decoding |
9 | Elizabeth Varki, Arif Merchant, Jianzhang Xu, Xiaozhou Qiu |
An integrated performance model of disk arrays. |
MASCOTS |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Alberto Macii, Enrico Macii, Fabrizio Crudo, Roberto Zafalon |
A New Algorithm for Energy-Driven Data Compression in VLIW Embedded Processors. |
DATE |
2003 |
DBLP DOI BibTeX RDF |
Data compression algorithms, system-level energy optimization, VLIW embedded processors |
Displaying result #1 - #100 of 105 (100 per page; Change: ) Pages: [ 1][ 2][ >>] |
|