|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 6695 occurrences of 2731 keywords
|
|
|
Results
Found 9574 publication records. Showing 9573 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
92 | Jen-Yao Chwng, Donald F. Ferguson, George Wang, Christos Nikolaou, Jim Teng |
Goal-oriented dynamic buffer pool management for data base systems. |
ICECCS |
1995 |
DBLP DOI BibTeX RDF |
goal-oriented dynamic buffer pool management, dynamic buffer pool size adjustment, multiple buffer pools, complex constrained optimization problem, random access response time goal, database workload, pre-defined response time goals, goal satisfaction algorithm, dynamic tuning, relational databases, optimisation, database management systems, transaction processing, storage management, trace driven simulations, buffer storage, virtual storage |
90 | Avi Bittan, Yaakov Kogan, Philip S. Yu |
Asymtotic Performance of a Buffer Model in a Data Sharing Environment. |
SIGMETRICS |
1994 |
DBLP DOI BibTeX RDF |
|
85 | Peter B. Danzig |
Flow Control for Limited Buffer Multicast. |
IEEE Trans. Software Eng. |
1994 |
DBLP DOI BibTeX RDF |
multiround flow control algorithm, limited buffer multicast, response holding, backoff time, recipients, available buffer space, buffer service time distribution, message retransmission, service time distribution, limited-buffer preemptive queuing process, protocol processing layers, multiprogrammed operating system, protocols, queueing theory, accuracy, storage management, buffer overflow, buffer storage, multiprogramming, network operating systems, telecommunications control, approximate analysis |
83 | Aditya Agrawal, Anand Raju, Sachidanand Varadarajan, Magdy A. Bayoumi |
A scalable shared buffer ATM switch architecture. |
Great Lakes Symposium on VLSI |
1995 |
DBLP DOI BibTeX RDF |
electronic switching systems, field effect transistor switches, scalable shared buffer ATM switch architecture, memory bandwidth requirement, maximum crosspoint switch size, buffer memory size, access time reduction, multiple buffer memories, 8/spl times/8 switch, 1 mum, 622 Mbit/s, asynchronous transfer mode, asynchronous transfer mode, shared memory systems, buffer storage, CMOS technology, CMOS digital integrated circuits, B-ISDN, B-ISDN, switching circuits, parallel access |
82 | Sang Ho Lee, Kyu-Young Whang, Yang-Sae Moon, Wook-Shin Han, Il-Yeol Song |
Dynamic Buffer Allocation in Video-on-Demand Systems. |
IEEE Trans. Knowl. Data Eng. |
2003 |
DBLP DOI BibTeX RDF |
VOD systems, dynamic buffer allocation, buffer scheduling methods, multimedia systems |
76 | Cheng-Shang Chang, Duan-Shin Lee, Ching-Ming Lien |
Load balanced Birkhoff-von Neumann switches with resequencing. |
SIGMETRICS Perform. Evaluation Rev. |
2001 |
DBLP DOI BibTeX RDF |
|
74 | Farnaz Mounes-Toussi, David J. Lilja |
Write buffer design for cache-coherent shared-memory multiprocessors. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies |
65 | Ali Jahanian 0001, Morteza Saheb Zamani |
Multi-Level Buffer Block Planning and Buffer Insertion for Large Design Circuits. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
Buffer planning, incremental placement, buffer insertion |
64 | Ali Jahanian 0001, Morteza Saheb Zamani |
Improved timing closure by early buffer planning in floor-placement design flow. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
buffer planning, design convergence, buffer insertion |
63 | Ru-Gang Xu, Patrice Godefroid, Rupak Majumdar |
Testing for buffer overflows with length abstraction. |
ISSTA |
2008 |
DBLP DOI BibTeX RDF |
length abstractions, underapproximation, buffer overflows, testing c programs, directed testing |
63 | Sehyun Kim, Sung-ye Kim, Kyung-hyun Yoon |
A Study on the Ray-Tracing Acceleration Technique Based on the ZF-Buffer Algorithm. |
IV |
2000 |
DBLP DOI BibTeX RDF |
ZF-buffer, intersection test, ray-tracing |
63 | Zhuo Li 0001, Weiping Shi |
An O(bn2) time algorithm for optimal buffer insertion with b buffer types. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
63 | Sang Ho Lee, Kyu-Young Whang, Yang-Sae Moon, Il-Yeol Song |
Dynamic Buffer Allocation in Video-on-Demand Systems. |
SIGMOD Conference |
2001 |
DBLP DOI BibTeX RDF |
|
61 | M. Balakrishnan |
Buffer constraints in a variable-rate packetized video system. |
ICIP |
1995 |
DBLP DOI BibTeX RDF |
variable rate codes, variable rate packetized video system, variable rate video encoding system, encoder buffer control mechanism, decoder buffers, logical buffer sizes, channel rate, algorithm, video coding, packet switching, decoding, buffer storage, telecommunication control, necessary conditions, buffer constraints |
60 | Jin Li, Chuan-lin Wu |
A novel architecture for an ATM switch. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
multicast function, shared-buffer ATM switch, first-in and first-out shared buffer, FIFO address queue, cell-loss performance, performance evaluation, asynchronous transfer mode, ATM switch, B-ISDN, control logic, buffer utilization |
60 | Ying-Yu Chen, Chen Dong 0003, Deming Chen |
Clock tree synthesis under aggressive buffer insertion. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
slew, buffer insertion, buffer sizing, clock tree, maze routing |
60 | Kyoungseok Rha, Kiyoung Choi |
Area-efficient buffer binding based on a novel two-port FIFO structure. |
CODES |
2001 |
DBLP DOI BibTeX RDF |
buffer binding, buffer sharing, scheduling, SDF |
60 | Asit Dan, Philip S. Yu |
Performance Analysis of Buffer Coherency Policies in a Multisystem Data Sharing Environment. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
integrated analytic models, buffer coherency policies, multisystem data sharing environment, multisystem transaction processing environment, propagation oriented approaches, coherency messages, buffer hit probability, independent reference model, CPU overhead, performance evaluation, performance analysis, transaction processing, detection, shared memory systems, response time, simulation models, notification, maximum throughput |
59 | Hiroaki Harai, Masayuki Murata 0001 |
High-speed buffer management for 40 Gb/s-based photonic packet switches. |
IEEE/ACM Trans. Netw. |
2006 |
DBLP DOI BibTeX RDF |
photonic packet switching, variable-length optical packet, parallel processing, buffer management, pipeline processing |
58 | Yuanyuan Zhou 0001, Zhifeng Chen, Kai Li 0001 |
Second-Level Buffer Cache Management. |
IEEE Trans. Parallel Distributed Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
58 | Xiaoyi Xu, Patrick Martin 0001, Wendy Powley |
Configuring buffer pools in DB2 UDB. |
CASCON |
2002 |
DBLP BibTeX RDF |
|
58 | Sungyoung Lee, Hyonwoo Seung, Taewoong Jeon |
An Integrated Push/Pull Buffer Management Method in Multimedia Communication Environments. |
LCTES |
2000 |
DBLP DOI BibTeX RDF |
|
58 | Asit Dan, Donald F. Towsley |
An Approximate Analysis of the LRU and FIFO Buffer Replacement Schemes. |
SIGMETRICS |
1990 |
DBLP DOI BibTeX RDF |
|
56 | Allison Woodruff, Michael Stonebraker |
Buffering of Intermediate Results in Dataflow Diagrams. |
VL |
1995 |
DBLP DOI BibTeX RDF |
optimal buffer allocation, buffer contents, user requests, latency reduction techniques, history mechanisms, query response time, visual dataflow languages, Tioga, graphical application development tool, software tools, latency, visual programming, NP-hard, data flow analysis, buffer management, diagrams, buffer storage, storage allocation, heuristic programming, heuristic methods, application generators, performance enhancements, average response time, dataflow diagrams |
56 | Chie Dou, Ming-Der Shieh |
A CAM-Based VLSI Architecture for Shared Buffer ATM Switch with Fuzzy Controlled Buffer Management. |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
Shared Buffer ATM Switch, VLSI, Fuzzy Control, Buffer Management, CAM |
55 | Do Young Eun, Xinbing Wang |
Achieving 100% throughput in TCP/AQM under aggressive packet marking with small buffer. |
IEEE/ACM Trans. Netw. |
2008 |
DBLP DOI BibTeX RDF |
router buffer sizing, small buffer, transmission control protocol, TCP/IP, stochastic modeling |
55 | Cheng-Hsien Chen, Chen-Yi Lee |
Two-level hierarchical Z-buffer with compression technique for 3D graphics hardware. |
Vis. Comput. |
2003 |
DBLP DOI BibTeX RDF |
Hierarchical Z-buffer, Hierarchical Z-buffer compression, 3D graphics hardware |
55 | Jin Li |
An output-shared buffer ATM switch. |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
buffer ATM switch, output-shared, lower bandwidth, asynchronous transfer mode, ATM switch, buffer utilization |
55 | Mingzhe Li, Mark Claypool, Robert E. Kinicki |
Playout buffer and rate optimization for streaming over IEEE 802.11 wireless networks. |
ACM Trans. Multim. Comput. Commun. Appl. |
2009 |
DBLP DOI BibTeX RDF |
playout buffer, streaming rate, wireless networks, Multimedia networking |
55 | Ling Wang 0004, Jianwen Zhang, Xiaoqing Yang, Dongxin Wen |
Router with centralized buffer for network-on-chip. |
ACM Great Lakes Symposium on VLSI |
2009 |
DBLP DOI BibTeX RDF |
centralized buffer, architecture, router, NoC |
55 | Leonel Tedesco, Fernando Moraes 0001, Ney Calazans |
Buffer sizing for QoS flows in wormhole packet switching NoCs. |
SBCCI |
2007 |
DBLP DOI BibTeX RDF |
quality of service, networks on chip, traffic modeling, buffer sizing |
55 | Fu-Hau Hsu, Fanglu Guo, Tzi-cker Chiueh |
Scalable network-based buffer overflow attack detection. |
ANCS |
2006 |
DBLP DOI BibTeX RDF |
CTCP, generalized attack signatures, network-based intrusion detection, payload bypassing, return-into-libc attacks, buffer overflow attacks |
55 | Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Yici Cai, Chung-Kuan Cheng, Jun Gu |
An integrated floorplanning with an efficient buffer planning algorithm. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
floorplanning, buffer insertion, routability |
55 | Kun-Lung Wu, Philip S. Yu |
Increasing Multimedia System Throughput with Consumption-based Buffer Management. |
Multim. Syst. |
1998 |
DBLP DOI BibTeX RDF |
News on demand, Rate-adjustable retrieval, Buffer management, Multimedia retrieval, I/O |
54 | Dinh Nguyen Tran, Phung Chinh Huynh, Y. C. Tay, Anthony K. H. Tung |
A new approach to dynamic self-tuning of database buffers. |
ACM Trans. Storage |
2008 |
DBLP DOI BibTeX RDF |
miss probability, autonomic computing, Buffer allocation |
54 | Jin Li, Chuan-lin Wu |
Design and implementation of a multicast-buffer ATM switch. |
ICNP |
1995 |
DBLP DOI BibTeX RDF |
|
51 | Yuan-Hau Yeh, Chen-Yi Lee |
Buffer size optimization for full-search block matching algorithms. |
ASAP |
1997 |
DBLP DOI BibTeX RDF |
buffer size optimization, optimized buffer size, dependency graph analysis, internal buffer size, I/O bandwidth constraint, systematic design procedure, image processing, VLSI architectures, full-search block matching algorithms |
51 | Fabio Grandi 0001, Maria Rita Scalas |
Block Access Estimation for Clustered Data Using a Finite LRU Buffer. |
IEEE Trans. Software Eng. |
1993 |
DBLP DOI BibTeX RDF |
block access estimation, data access cost evaluation, clustered data, finite LRU buffer, clustering effect, buffer memory, real systems, comprehensive cost model, clustered data retrieval, uniform data clustering assumptions, predictive power, query processing, database management systems, database systems, buffer storage, information retrieval systems, computational costs |
51 | Richard S. Brice, Stephen W. Sherman |
An Extension of the Performance of a Database Manager in a Virtual Memory System Using Partially Locked Virtual Buffers. |
ACM Trans. Database Syst. |
1977 |
DBLP DOI BibTeX RDF |
double paging, locked buffer, virtual buffer, performance, buffer manager, virtual memory, database management, Database Manager, page faults, page replacement algorithm |
51 | Olivier Buffer, Olivier Pietquin, Paul Weng |
Reinforcement Learning. |
CoRR |
2020 |
DBLP BibTeX RDF |
|
51 | Wen-Tzeng Huang, C. T. Chen, Chin-Hsing Chen, C. C. Cheng |
Energy-Efficient Buffer Architecture for Flash Memory. |
MUE |
2008 |
DBLP DOI BibTeX RDF |
FAB, Grey decision, read/write buffer architecture, optimal-read only-write buffer architecture, flash memory, LRU |
51 | Sangdon Lee, Sukho Lee |
Applying Dynamic Buffer Allocation to Predictive Load Control. |
COMPSAC |
1995 |
DBLP DOI BibTeX RDF |
Database Buffer Management, Dynamic Buffer Allocation, Multi-Query Environment, Access Patterns |
50 | Shouyi Yin, Leibo Liu, Shaojun Wei |
Buffer planning for application-specific networks-on-chip design. |
Sci. China Ser. F Inf. Sci. |
2009 |
DBLP DOI BibTeX RDF |
buffer planning, optimization, design automation, networks-on-chip (NoC) |
50 | Joon-Sung Yang, Nur A. Touba |
Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture. |
VTS |
2008 |
DBLP DOI BibTeX RDF |
Trace Buffer Observation Window, Two-Dimensional (2-D) Compaction, Cycling Register, Silicon Debug, MISR |
50 | Chong Leng Goh, Yanfeng Shu, Zhiyong Huang, Beng Chin Ooi |
Dynamic buffer management with extensible replacement policies. |
VLDB J. |
2006 |
DBLP DOI BibTeX RDF |
Extensible DBMS, Buffer management, Replacement strategies |
50 | Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi |
Path based buffer insertion. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
layout, physical design, global routing, buffer insertion, power minimization, interconnect synthesis |
50 | Chie Dou, Shing-Jeh Jiang, Kuo-Cheng Leu |
A Novel CAM/RAM Based Buffer Manager for Next Generation IP Routers. |
DELTA |
2002 |
DBLP DOI BibTeX RDF |
CAM/RAM integration, buffer manager, content addressable memory, IP router |
50 | Zhuo Li 0001, Weiping Shi |
An O(bn2) Time Algorithm for Optimal Buffer Insertion with b Buffer Types. |
DATE |
2005 |
DBLP DOI BibTeX RDF |
|
49 | Himanshu Kaul, Dennis Sylvester |
A novel buffer circuit for energy efficient signaling in dual-VDD systems. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
on-chip signaling, low-power, repeaters, dual-VDD |
49 | Kyle J. Nesbit, James E. Smith 0001 |
Data Cache Prefetching Using a Global History Buffer. |
HPCA |
2004 |
DBLP DOI BibTeX RDF |
|
49 | Ling Feng, Hongjun Lu |
Managing Multiuser Database Buffers Using Data Mining Techniques. |
Knowl. Inf. Syst. |
2004 |
DBLP DOI BibTeX RDF |
Multiuser database systems, Data mining, Placement, Prefetch, Replacement |
47 | James H. Anderson, Philip Holman |
Efficient pure-buffer algorithms for real-time systems. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
efficient pure-buffer algorithms, multiprocessor real-time systems, multi-writer read/write pure-buffers, overwritten data, client processes, handshaking mechanisms, concurrent read/write operations safety, quantum-scheduled systems, priority-scheduled systems, buffer word length, real-time systems, computational complexity, distributed algorithms, safety, multiprocessing systems, time complexity, processor scheduling, optimized algorithms, buffer storage, space complexity, wait-free algorithms, shared buffers, processor number |
46 | Eugin Hyun, Kwang-Su Seong |
The Effective Buffer Architecture for Data Link Layer of PCI Express. |
ITCC (1) |
2004 |
DBLP DOI BibTeX RDF |
PCI, Data Link Layer, Buffer management, Buffer, PCI Express |
46 | Afzal Malik, Bill Moyer, Roger Zhou |
Embedded cache architecture with programmable write buffer support for power and performance flexibility. |
CASES |
2002 |
DBLP DOI BibTeX RDF |
cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer |
46 | Aditya Agrawal, Magdy A. Bayoumi, Amr Elchouemi |
A new ATM congestion control scheme for shared buffer switch architectures. |
ICCCN |
1995 |
DBLP DOI BibTeX RDF |
pre-emptive congestion control scheme, shared buffer switch architectures, rate-based feedback scheme, guaranteed service traffic, continuous bit-rate traffic, leaky bucket mechanism, backward explicit congestion notification feedback scheme, mean inter-arrival time, buffer threshold throttle factor, cell reject throttle factor, rate reduction delta, transient behaviour, filter time, asynchronous transfer mode, ATM networks, simulation model, ATM switch, dynamic bandwidth allocation, best-effort traffic, variable bit-rate traffic, predictive scheme |
46 | Stephen W. Sherman, Richard S. Brice |
Performance of a Database Manager in a Virtual Memory System. |
ACM Trans. Database Syst. |
1976 |
DBLP DOI BibTeX RDF |
double paging, virtual buffer, performance, buffer manager, virtual memory, database management, Database Manager, page faults, page replacement algorithm |
46 | Tung-Chieh Chen, Ashutosh Chakraborty, David Z. Pan |
An integrated nonlinear placement framework with congestion and porosity aware buffer planning. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
VLSI, placement, physical design, buffer |
46 | Xinjie Wei, Yici Cai, Meng Zhao, Xianlong Hong |
Legitimate Skew Clock Routing with Buffer Insertion. |
J. VLSI Signal Process. |
2006 |
DBLP DOI BibTeX RDF |
legitimate skew, buffer insertion, clock routing |
46 | Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Charles J. Alpert, Sani R. Nassif |
An efficient surface-based low-power buffer insertion algorithm. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
low-power design, buffer insertion, physical synthesis |
46 | Yong-Joon Park, Gyungho Lee |
Repairing return address stack for buffer overflow protection. |
Conf. Computing Frontiers |
2004 |
DBLP DOI BibTeX RDF |
computer architecture, computer security, buffer overflow, intrusion tolerance |
46 | Yeonseung Ryu, Kyoungwoon Cho, Youjip Won, Kern Koh |
Intelligent Buffer Cache Management in Multimedia Data Retrieval. |
ISMIS |
2002 |
DBLP DOI BibTeX RDF |
Multimedia File System, Looping Reference, Buffer Cache |
46 | Youjip Won, Jaideep Srivastava |
SMDP: Minimizing Buffer Requirements for Continuous Media Servers. |
Multim. Syst. |
2000 |
DBLP DOI BibTeX RDF |
Multimedia, Synchronization, Buffer management, Disk scheduling, Data retrieval |
46 | Peter J. Varman, Rakesh M. Verma |
Tight Bounds for Prefetching and Buffer Management Algorithms for Parallel I/O Systems. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
multiple-disk systems, algorithms, caching, prefetching, Parallel I/O, buffer management, competitive ratio, external memory |
46 | Tomás Lang, Christopher Wood, Eduardo B. Fernández |
Database Buffer Paging in Virtual Storage Systems. |
ACM Trans. Database Syst. |
1977 |
DBLP DOI BibTeX RDF |
computer systems performance, buffer management, virtual memory, database performance, page replacement algorithm |
45 | Chen-Chi Wu, Kuan-Ta Chen, Chun-Ying Huang, Chin-Laung Lei |
An empirical evaluation of VoIP playout buffer dimensioning in Skype, Google talk, and MSN Messenger. |
NOSSDAV |
2009 |
DBLP DOI BibTeX RDF |
mos, VoIP, user satisfaction, quality of experience, e-model, pesq |
45 | Louis Bavoil, Steven P. Callahan, Aaron E. Lefohn, João Luiz Dihl Comba, Cláudio T. Silva |
Multi-fragment effects on the GPU using the k-buffer. |
SI3D |
2007 |
DBLP DOI BibTeX RDF |
fragment processing, volume rendering, graphics hardware, transparency, CSG, blending, visibility ordering |
45 | Andrew Zalesky |
Optimizing an OBS scheduler buffer. |
VALUETOOLS |
2006 |
DBLP DOI BibTeX RDF |
all-optical switching, stochastic performance modeling, scheduler, blocking probability, optical burst switching |
45 | Amogh Dhamdhere, Hao Jiang, Constantinos Dovrolis |
Buffer sizing for congested Internet links. |
INFOCOM |
2005 |
DBLP DOI BibTeX RDF |
|
45 | Scott Jordan, Kalpana Jogi, Chunlin Shi, Ikhlaq Sidhu |
The variation of optimal bandwidth and buffer allocation with the number of sources. |
IEEE/ACM Trans. Netw. |
2004 |
DBLP DOI BibTeX RDF |
resource allocation, dimensioning, cost minimization |
45 | Huamin Qu, Arie E. Kaufman |
O-Buffer: A Framework for Sample-Based Graphics. |
IEEE Trans. Vis. Comput. Graph. |
2004 |
DBLP DOI BibTeX RDF |
|
45 | Patrick Martin 0001, Hoi-Ying Li, Min Zheng, Keri Romanufa, Wendy Powley |
Dynamic Reconfiguration Algorithm: Dynamically Tuning Multiple Buffer Pools. |
DEXA |
2000 |
DBLP DOI BibTeX RDF |
|
45 | José Luis Neves, Stephen T. Quay |
Buffer Library Selection. |
ICCD |
2000 |
DBLP DOI BibTeX RDF |
|
45 | Jason Cong, Tianming Kong, David Zhigang Pan |
Buffer block planning for interconnect-driven floorplanning. |
ICCAD |
1999 |
DBLP DOI BibTeX RDF |
|
42 | Hamid Reza Kheirabadi, Morteza Saheb Zamani |
An efficient net ordering algorithm for buffer insertion. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
buffer usage, net ordering, buffer insertion |
42 | Deok Jin Kim, Tae-Hyung Kim, Jong Kim 0001, Sung Je Hong |
Return Address Randomization Scheme for Annuling Data-Injection Buffer Overflow Attacks. |
Inscrypt |
2006 |
DBLP DOI BibTeX RDF |
Return Address, return-into-libc Attack, Data Injection Buffer Overflow Attack, Security, Randomization, Buffer Overflow, Instruction Set |
42 | Mustapha Refai |
Exploiting a buffer overflow using metasploit framework. |
PST |
2006 |
DBLP DOI BibTeX RDF |
metasploit, framework, buffer overflow, exploit, buffer overrun |
42 | Wilfred W. K. Lin, Allan K. Y. Wong, Tharam S. Dillon |
A Novel Traffic Independent NNC for Dynamic Buffer Tuning to Shorten the RTT of a TCP Channel. |
ICITA (2) |
2005 |
DBLP DOI BibTeX RDF |
PIDC, dynamic buffer tuning, traffic pattern independent, buffer overflow, active queue management, Neural Network Controller |
42 | Chris C. N. Chu, D. F. Wong 0001 |
Closed form solutions to simultaneous buffer insertion/sizing and wire sizing. |
ACM Trans. Design Autom. Electr. Syst. |
2001 |
DBLP DOI BibTeX RDF |
buffer insertion, buffer sizing, closed form solution, interconnect optimization, wire sizing |
42 | Tsuyoshi Isshiki, Makoto Ishikawa, Hiroaki Kunieda |
Cost-effective shadowing method using the ED-buffer on an adaptive light cube. |
Vis. Comput. |
2000 |
DBLP DOI BibTeX RDF |
ED-buffer, Light cube, Shadowing, Hardware implementation, Z-buffer |
42 | Maria E. Markaki, Marios P. Saltouros, Iakovos S. Venieris |
Proportional Packet Loss Differentiation and Buffer Management for Differentiated Services in the Internet. |
LCN |
2000 |
DBLP DOI BibTeX RDF |
proportional packet loss differentiation, buffer management algorithm, differentiated services architecture, class-based queuing, QoS traffic classes, OPNET Modeler 6.0.L, complete partitioning, push-out, push-out with threshold, quality of service, quality of service, Internet, Internet, queueing theory, packet switching, scheduling algorithm, digital simulation, differentiated services, buffer storage, telecommunication network routing, telecommunication traffic, packet loss, IP routers, simulation platform |
41 | Mohammad H. Foroozannejad, Matin Hashemi, Trevor L. Hodges, Soheil Ghiasi |
Look into details: the benefits of fine-grain streaming buffer analysis. |
LCTES |
2010 |
DBLP DOI BibTeX RDF |
synchronous data flow, optimization, buffer management, software synthesis, streaming applications |
41 | Feng Chen 0005, Xiaodong Zhang 0001 |
PS-BC: power-saving considerations in design of buffer caches serving heterogeneous storage devices. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
power management, energy saving, buffer caches, hard disk |
41 | Soontae Kim, Jongmin Lee 0002 |
Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
low power, data cache, write buffer |
41 | Hyun-Yong Noh, Se-Won Oh, Shin-Dug Kim |
A multi-level elaborate least frequently/recently used buffer cache for flash storage systems. |
ICHIT |
2009 |
DBLP DOI BibTeX RDF |
cache, flash memory, buffer, SSD, flash translation layer, FTL, solid state drive |
41 | Weili Li, Lixin Yu |
Efficient line buffer instruction cache scheme with prefetch. |
ICIS |
2009 |
DBLP DOI BibTeX RDF |
line buffer, cache, prefetch |
41 | Shiyan Hu, Zhuo Li 0001, Charles J. Alpert |
A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
dynamic programming, NP-complete, buffer insertion, fully polynomial time approximation scheme, cost minimization |
41 | Zhanyuan Jiang, Weiping Shi |
Circuit-wise buffer insertion and gate sizing algorithm with scalability. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
buffer insertion, gate sizing, interconnect synthesis |
41 | Ou He, Sheqin Dong, Jinian Bian, Yuchun Ma, Xianlong Hong |
An effective buffer planning algorithm for IP based fixed-outline SOC placement. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
buffer planning, very large scale integration (VLSI), floorplanning, fixed-outline |
41 | Adi Rosén, Gabriel Scalosub |
Rate vs. buffer size: greedy information gathering on the line. |
SPAA |
2007 |
DBLP DOI BibTeX RDF |
competitive network throughput, online algorithms, competitive analysis, buffer management, information gathering |
41 | Jen-Chieh Lai, Chia-Hui Wang |
Buffer Occupancy Feedback Security Control and Changing Encryption Keys to Protect MOD Services. |
PSIVT |
2006 |
DBLP DOI BibTeX RDF |
encryption/decryption, playback buffer occupancy (PBO), feedback control, MOD |
41 | Mandar Waghmode, Zhuo Li 0001, Weiping Shi |
Buffer insertion in large circuits with constructive solution search techniques. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
physical design, buffer insertion, cost optimization, interconnect synthesis |
41 | Sankalp Kallakuri, Nattawut Thepayasuwan, Alex Doboli, Eugene A. Feinberg |
A continuous time markov decision process based on-chip buffer allocation methodology. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
buffer space management, SoC |
41 | Wilfred W. K. Lin, Tharam S. Dillon, Allan K. Y. Wong |
Using the Novel FLC Dynamic Buffer Size Tuning Technique to Support More Dependable Location-Aware Information Retrieval. |
ICMB |
2005 |
DBLP DOI BibTeX RDF |
location-aware information retrieval, small-form-factor (SFF) devices, Fuzzy Logic Controller (FLC), dynamic buffer size tuning, Internet traffic patterns, dependability |
41 | Misha Zitser, Richard Lippmann, Tim Leek |
Testing static analysis tools using exploitable buffer overflows from open source code. |
SIGSOFT FSE |
2004 |
DBLP DOI BibTeX RDF |
test detection, security, evaluation, static analysis, source code, buffer overflow, exploit, false alarm |
41 | Edward P. F. Chan |
Buffer Queries. |
IEEE Trans. Knowl. Data Eng. |
2003 |
DBLP DOI BibTeX RDF |
Buffer query, distance-related query, spatial query evaluation, refinement, filtering, spatial join, minimum distance |
41 | Ramaswamy Govindarajan, Guang R. Gao, Palash Desai |
Minimizing Buffer Requirements under Rate-Optimal Schedule in Regular Dataflow Networks. |
J. VLSI Signal Process. |
2002 |
DBLP DOI BibTeX RDF |
buffer minimization, Digital Signal Processing (DSP) computation, Multi-Rate Software Pipelining, Regular Stream Flow Graphs, software pipelining, dataflow graphs |
41 | Thomas Toth, Christopher Krügel |
Accurate Buffer Overflow Detection via Abstract Payload Execution. |
RAID |
2002 |
DBLP DOI BibTeX RDF |
Intrusion Detecion, Buffer Overflow Exploit, Network Security |
41 | Wei Chen, Massoud Pedram, Premal Buch |
Buffered Routing Tree Construction under Buffer Placement Blockages. |
ASP-DAC/VLSI Design |
2002 |
DBLP DOI BibTeX RDF |
Blockage, Routing, Buffer |
41 | Jong Won Park |
An Efficient Buffer Memory System for Subarray Access. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
High-resolution graphical display, buffer memory system, block access, address routing, memory module selection, image processing, address calculation |
41 | Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung |
Design of Instruction Stream Buffer with Trace Support for X86 Processors. |
ICCD |
2000 |
DBLP DOI BibTeX RDF |
instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache |
Displaying result #1 - #100 of 9573 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|